Amirkhany et al., 2021 - Google Patents
Introduction to the Special Section on the 2021 IEEE International Solid-State Circuits Conference (ISSCC)Amirkhany et al., 2021
View PDF- Document ID
- 8123658321528469565
- Author
- Amirkhany A
- Karnik T
- Das S
- Deguchi J
- Taito Y
- Publication year
- Publication venue
- IEEE Journal of Solid-State Circuits
External Links
Snippet
This Special Section of the IEEE Journal of Solid-State Circuits is dedicated to a collection of the best articles selected from the 2021 IEEE International Solid-State Circuits Conference (ISSCC) that took place on February 13–22, 2021, in San Francisco, CA, USA. This Special …
- 230000015654 memory 0 abstract description 11
Classifications
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING; COUNTING
- G06F—ELECTRICAL DIGITAL DATA PROCESSING
- G06F3/00—Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
- G06F3/01—Input arrangements or combined input and output arrangements for interaction between user and computer
- G06F3/03—Arrangements for converting the position or the displacement of a member into a coded form
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING; COUNTING
- G06F—ELECTRICAL DIGITAL DATA PROCESSING
- G06F1/00—Details of data-processing equipment not covered by groups G06F3/00 - G06F13/00, e.g. cooling, packaging or power supply specially adapted for computer application
- G06F1/26—Power supply means, e.g. regulation thereof
- G06F1/32—Means for saving power
- G06F1/3203—Power Management, i.e. event-based initiation of power-saving mode
- G06F1/3234—Action, measure or step performed to reduce power consumption
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| Yu et al. | Compute-in-memory chips for deep learning: Recent trends and prospects | |
| Si et al. | A twin-8T SRAM computation-in-memory unit-macro for multibit CNN-based AI edge processors | |
| Su et al. | Two-way transpose multibit 6T SRAM computing-in-memory macro for inference-training AI edge chips | |
| Daly et al. | Through the looking glass-2020 edition: Trends in solid-state circuits from ISSCC | |
| US20160372210A1 (en) | Data independent periodic calibration using per-pin vref correction technique for single-ender signaling | |
| US9214202B2 (en) | Input buffer and memory device including the same | |
| Akarvardar et al. | Technology prospects for data-intensive computing | |
| US12354649B2 (en) | Signal routing between memory die and logic die for performing operations | |
| US20220302943A1 (en) | Low power high sensitivity sense amplifier latch with complimentary outputs in reset mode | |
| Fu et al. | DS-CIM: A 40nm asynchronous dual-spike driven, MRAM compute-in-memory macro for spiking neural network | |
| Angizi et al. | Pisa: A binary-weight processing-in-sensor accelerator for edge image processing | |
| Shiba et al. | A 7-nm FinFET 1.2-TB/s/mm 2 3D-stacked SRAM module with 0.7-pJ/b inductive coupling interface using over-SRAM coil and manchester-encoded synchronous transceiver | |
| Lou et al. | An energy efficient all-digital time-domain compute-in-memory macro optimized for binary neural networks | |
| Mirabbasi et al. | Through the Looking Glass—The 2023 Edition: Trends in solid-state circuits from ISSCC | |
| Lee et al. | An 8.5-Gb/s/Pin 12-Gb LPDDR5 SDRAM with a hybrid-bank architecture, low power, and speed-boosting techniques | |
| Zhang et al. | A high-density and reconfigurable SRAM-based digital compute-in-memory macro for low-power AI chips | |
| Tang et al. | Arvon: A heterogeneous system-in-package integrating FPGA and DSP chiplets for versatile workload acceleration | |
| Zhou et al. | A cfmb stt-mram-based computing-in-memory proposal with cascade computing unit for edge ai devices | |
| Jiang et al. | Xnor-sram: In-bitcell computing sram macro based on resistive computing mechanism | |
| Amirkhany et al. | Introduction to the Special Section on the 2021 IEEE International Solid-State Circuits Conference (ISSCC) | |
| US20190252356A1 (en) | Apparatuses and methods for scalable memory | |
| JP4543897B2 (en) | Signal transmission system | |
| Zhang et al. | Recent advances in compute-in-memory support for SRAM using monolithic 3-D integration | |
| Correll et al. | An 8-bit 20.7 TOPS/W Multilevel Cell ReRAM Macro With ADC-Assisted Bit-Serial Processing | |
| Fayza et al. | Towards Efficient Hyperdimensional Computing Using Photonics |