[go: up one dir, main page]

CN107968071B - Semiconductor device, manufacturing method thereof and electronic device - Google Patents

Semiconductor device, manufacturing method thereof and electronic device Download PDF

Info

Publication number
CN107968071B
CN107968071B CN201610915730.3A CN201610915730A CN107968071B CN 107968071 B CN107968071 B CN 107968071B CN 201610915730 A CN201610915730 A CN 201610915730A CN 107968071 B CN107968071 B CN 107968071B
Authority
CN
China
Prior art keywords
epitaxial layer
layer
width
spacer
stress
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610915730.3A
Other languages
Chinese (zh)
Other versions
CN107968071A (en
Inventor
李勇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201610915730.3A priority Critical patent/CN107968071B/en
Publication of CN107968071A publication Critical patent/CN107968071A/en
Application granted granted Critical
Publication of CN107968071B publication Critical patent/CN107968071B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/01Manufacture or treatment
    • H10D84/0123Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
    • H10D84/0126Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
    • H10D84/0165Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
    • H10D84/0193Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices the components including FinFETs
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/01Manufacture or treatment
    • H10D84/0123Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
    • H10D84/0126Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
    • H10D84/0165Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
    • H10D84/017Manufacturing their source or drain regions, e.g. silicided source or drain regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/01Manufacture or treatment
    • H10D84/02Manufacture or treatment characterised by using material-based technologies
    • H10D84/03Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology
    • H10D84/038Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology using silicon technology, e.g. SiGe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/80Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs
    • H10D84/82Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components
    • H10D84/83Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components of only insulated-gate FETs [IGFET]
    • H10D84/85Complementary IGFETs, e.g. CMOS
    • H10D84/853Complementary IGFETs, e.g. CMOS comprising FinFETs

Landscapes

  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

The invention provides a semiconductor device, a manufacturing method thereof and an electronic device, and relates to the technical field of semiconductors. The method comprises the following steps: and forming a stress epitaxial layer in a source/drain region in the NMOS region, wherein the stress epitaxial layer comprises a second stress epitaxial layer with a first width positioned at the bottom and a third stress epitaxial layer positioned on the second stress epitaxial layer, the third stress epitaxial layer comprises a third stress epitaxial layer with a second width and a third stress epitaxial layer with a third width positioned above the top surface of the second gap wall from bottom to top, the first width is smaller than the second width, and the second width is smaller than the third width, so that the top of the stress epitaxial layer is enlarged, the contact area is larger, the stress epitaxial layer has lower external resistance, and furthermore, because the volume of the bottom stress epitaxial layer is not increased, the short channel effect is well controlled, and the performance and the yield of the device are improved.

Description

一种半导体器件及其制造方法和电子装置A semiconductor device and its manufacturing method and electronic device

技术领域technical field

本发明涉及半导体技术领域,具体而言涉及一种半导体器件及其制造方法和电子装置。The present invention relates to the technical field of semiconductors, and in particular, to a semiconductor device, a manufacturing method thereof, and an electronic device.

背景技术Background technique

在半导体技术领域中,随着纳米加工技术的迅速发展,晶体管的特征尺寸已进入纳米级。通过等比例缩小的方法来提高当前主流的硅CMOS器件的性能这一方式,受到越来越多的物理及工艺的限制。为了提高CMOS器件中NMOS和PMOS晶体管的性能,应力技术(stress engineering)越来越受到业界的关注。In the field of semiconductor technology, with the rapid development of nanofabrication technology, the feature size of transistors has entered the nanoscale. The method of improving the performance of the current mainstream silicon CMOS devices by scaling down is subject to more and more physical and technological constraints. In order to improve the performance of NMOS and PMOS transistors in CMOS devices, stress engineering has received increasing attention in the industry.

应力影响半导体中的载流子的迁移率。一般而言,硅中电子的迁移率随着沿着电子迁移方向的拉应力的增加而增加,并且随着压应力的增加而减少。相反,硅中带正电的空穴的迁移率随着空穴移动方向的压应力的增加而增加,并且随着拉应力的增加而减小。因此,可以通过在沟道中引入适当的压应力和拉应力能分别提高PMOS的空穴迁移率和NMOS的电子迁移率,例如:通过锗硅(SiGe)工艺改善PMOS的性能,通过磷硅(SiP)工艺来改善NMOS的性能。Stress affects the mobility of carriers in semiconductors. In general, the mobility of electrons in silicon increases with increasing tensile stress along the direction of electron migration and decreases with increasing compressive stress. Conversely, the mobility of positively charged holes in silicon increases with increasing compressive stress in the direction of hole movement and decreases with increasing tensile stress. Therefore, the hole mobility of PMOS and the electron mobility of NMOS can be improved respectively by introducing appropriate compressive stress and tensile stress in the channel, for example, the performance of PMOS can be improved by silicon germanium (SiGe) process, and the performance of PMOS can be improved by silicon-phosphorus (SiP) process. ) process to improve the performance of NMOS.

源/漏极(S/D)外延轮廓对于提升FinFET器件的性能和良率很关键。对于NMOS器件的SiP应力外延层的制备过程,SiP合并外延层(merged epitaxy)不是我们想要的理想结构,其不利于器件性能的提高,但是如果设计需要较低的外电阻(external resistance),则就需要应力外延层具有较大的体积,因此需要合理的平衡外延的体积和轮廓,从而提高器件的性能。另外,较大的SiP外延不利于短沟道效应的控制,因为磷的横向扩散能力太差。Source/drain (S/D) epitaxy profiles are critical to improving the performance and yield of FinFET devices. For the preparation process of the SiP stress epitaxy layer of NMOS devices, the SiP merged epitaxy layer is not the ideal structure we want, which is not conducive to the improvement of device performance, but if the design requires lower external resistance (external resistance), Then, the stress epitaxial layer needs to have a larger volume, so it is necessary to reasonably balance the volume and profile of the epitaxy, thereby improving the performance of the device. In addition, the larger SiP epitaxy is not conducive to the control of the short-channel effect, because the lateral diffusion ability of phosphorus is too poor.

因此,有必要提出一种半导体器件及其制造方法,以合理的平衡源/漏极外延的体积和轮廓,从而进一步提高器件的性能。Therefore, it is necessary to propose a semiconductor device and its fabrication method to reasonably balance the volume and profile of the source/drain epitaxy, thereby further improving the performance of the device.

发明内容SUMMARY OF THE INVENTION

在发明内容部分中引入了一系列简化形式的概念,这将在具体实施方式部分中进一步详细说明。本发明的发明内容部分并不意味着要试图限定出所要求保护的技术方案的关键特征和必要技术特征,更不意味着试图确定所要求保护的技术方案的保护范围。A series of concepts in simplified form have been introduced in the Summary section, which are described in further detail in the Detailed Description section. The Summary of the Invention section of the present invention is not intended to attempt to limit the key features and essential technical features of the claimed technical solution, nor is it intended to attempt to determine the protection scope of the claimed technical solution.

针对现有技术的不足,本发明实施例一中提供一种半导体器件的制造方法,包括:In view of the deficiencies of the prior art, the first embodiment of the present invention provides a method for manufacturing a semiconductor device, including:

提供半导体衬底,所述半导体衬底包括PMOS区和NMOS区,在所述PMOS区和NMOS区内的半导体衬底上分别形成有第一鳍片结构和第二鳍片结构;A semiconductor substrate is provided, the semiconductor substrate includes a PMOS region and an NMOS region, and a first fin structure and a second fin structure are respectively formed on the semiconductor substrate in the PMOS region and the NMOS region;

在所述PMOS区和NMOS区分别形成横跨部分所述第一鳍片结构和部分所述第二鳍片结构的第一伪栅极结构和第二伪栅极结构;forming a first dummy gate structure and a second dummy gate structure spanning a part of the first fin structure and a part of the second fin structure in the PMOS region and the NMOS region, respectively;

在所述第一伪栅极结构两侧的所述第一鳍片结构的源/漏区内生长第一应力外延层;growing a first stress epitaxial layer in the source/drain regions of the first fin structure on both sides of the first dummy gate structure;

在所述第二伪栅极结构两侧的所述第二鳍片结构的侧壁上形成第一间隙壁;forming first spacers on sidewalls of the second fin structure on both sides of the second dummy gate structure;

对暴露的所述第二鳍片结构的源/漏区进行第一回蚀刻,以去除部分所述第二鳍片结构形成第一凹槽;performing a first etch back on the exposed source/drain regions of the second fin structure to remove part of the second fin structure to form a first groove;

减薄所述第一间隙壁的厚度,以扩大所述第一凹槽的宽度至第一宽度;thinning the thickness of the first spacer to expand the width of the first groove to a first width;

在所述第一凹槽内露出的所述第二鳍片结构上生长第二应力外延层,以填充所述第一凹槽,其中所述第二应力外延层的宽度为所述第一宽度;A second stressed epitaxial layer is grown on the second fin structure exposed in the first groove to fill the first groove, wherein the width of the second stressed epitaxial layer is the first width ;

在所述第二鳍片结构和所述第二应力外延层的侧壁上形成第二间隙壁;forming second spacers on sidewalls of the second fin structure and the second stressed epitaxial layer;

第二回蚀刻去除部分所述第二应力外延层,以形成第二凹槽;a second etch back to remove part of the second stress epitaxial layer to form a second groove;

减薄所述第二间隙壁的厚度,以扩大所述第二凹槽的宽度至第二宽度;reducing the thickness of the second spacer to expand the width of the second groove to a second width;

在所述第二应力外延层的表面上生长第三应力外延层,以填充满所述第二凹槽并溢出到剩余的所述第二间隙壁的顶面上,其中,所述第二凹槽内的所述第三应力外延层的宽度为所述第二宽度,位于所述第二间隙壁顶面以上的所述第三应力外延层具有第三宽度,其中,所述第一宽度小于所述第二宽度,所述第二宽度小于所述第三宽度。A third stressed epitaxial layer is grown on the surface of the second stressed epitaxial layer to fill the second recess and overflow to the top surface of the remaining second spacers, wherein the second recess is The width of the third stressed epitaxial layer in the groove is the second width, and the third stressed epitaxial layer located above the top surface of the second spacer has a third width, wherein the first width is smaller than The second width is smaller than the third width.

进一步,在形成所述第一伪栅极结构和所述第二伪栅极结构之后,形成所述第一应力外延层之前,还包括以下步骤:Further, after forming the first dummy gate structure and the second dummy gate structure, and before forming the first stress epitaxial layer, the following steps are further included:

沉积第一间隙壁材料层,以覆盖所述PMOS区和所述NMOS区;depositing a first layer of spacer material to cover the PMOS region and the NMOS region;

形成图案化的第一光刻胶层,以覆盖所述NMOS区,露出所述PMOS区;forming a patterned first photoresist layer to cover the NMOS region and expose the PMOS region;

以所述图案化的第一光刻胶层为掩膜,蚀刻去除位于所述第一鳍片结构顶面上以及位于所述半导体衬底表面上的部分所述第一间隙壁材料层;Using the patterned first photoresist layer as a mask, etching and removing part of the first spacer material layer located on the top surface of the first fin structure and on the surface of the semiconductor substrate;

回蚀刻去除所述第一伪栅极结构两侧的源/漏区内的部分所述第一鳍片结构以及所述第一鳍片结构上的部分所述第一间隙壁材料层。Etching back removes part of the first fin structure in the source/drain regions on both sides of the first dummy gate structure and part of the first spacer material layer on the first fin structure.

进一步,在形成所述第一应力外延层之后,形成所述第一间隙壁之前,还包括步骤:进行氧化处理,以在所述第一应力外延层暴露的表面上形成第一氧化物层。Further, after forming the first stressed epitaxial layer and before forming the first spacer, the method further includes a step of: performing an oxidation treatment to form a first oxide layer on the exposed surface of the first stressed epitaxial layer.

进一步,形成所述第一间隙壁的方法包括以下步骤:Further, the method for forming the first spacer includes the following steps:

沉积第二间隙壁材料层,以覆盖所述PMOS区和所述NMOS区;depositing a second layer of spacer material to cover the PMOS region and the NMOS region;

形成图案化的第二光刻胶层,以覆盖所述PMOS区暴露所述NMOS区;forming a patterned second photoresist layer to cover the PMOS region and expose the NMOS region;

蚀刻去除所述第二鳍片结构顶面上以及NMOS区内的半导体衬底表面上的所述第一间隙壁材料层和所述第二间隙壁材料层,以在所述第二鳍片结构的侧壁上形成所述第一间隙壁,并露出部分所述第二鳍片结构的顶面。Etching and removing the first spacer material layer and the second spacer material layer on the top surface of the second fin structure and the surface of the semiconductor substrate in the NMOS region, so that the second fin structure is The first spacer is formed on the sidewall of the fin, and part of the top surface of the second fin structure is exposed.

进一步,在所述第一回蚀刻步骤之后,减薄所述第一间隙壁的厚度之前,还包括步骤:对露出的所述第二鳍片结构的表面进行氧化,以形成第二氧化物层,并在减薄所述第二间隙壁的厚度的步骤之后,将所述第二氧化物层进行预清洗去除。Further, after the first etching back step and before reducing the thickness of the first spacer, the method further includes a step of: oxidizing the exposed surface of the second fin structure to form a second oxide layer , and after the step of reducing the thickness of the second spacer, the second oxide layer is pre-cleaned and removed.

进一步,形成所述第二间隙壁的过程包括以下步骤:Further, the process of forming the second spacer includes the following steps:

沉积第三间隙壁材料层,以覆盖所述PMOS区和所述NMOS区;depositing a third layer of spacer material to cover the PMOS region and the NMOS region;

形成图案化的第三光刻胶层,以覆盖所述PMOS区暴露所述NMOS区;forming a patterned third photoresist layer to cover the PMOS region and expose the NMOS region;

蚀刻去除位于所述第二应力外延层顶面上以及所述NMOS区内的半导体衬底上的部分所述第三间隙壁材料层,以在所述第二鳍片结构和所述第二应力外延层的侧壁上形成所述第二间隙壁。Etching and removing part of the third spacer material layer on the top surface of the second stressed epitaxial layer and the semiconductor substrate in the NMOS region, so that the second fin structure and the second stress The second spacer is formed on the sidewall of the epitaxial layer.

进一步,所述第一间隙壁的厚度范围为60~120埃。Further, the thickness of the first spacer is in the range of 60-120 angstroms.

进一步,所述第一回蚀刻的深度范围为20~40nm。Further, the depth range of the first etching back is 20-40 nm.

进一步,减薄所述第一间隙壁之后,剩余的所述第一间隙壁的厚度范围为2~6nm。Further, after the first spacer is thinned, the thickness of the remaining first spacer ranges from 2 to 6 nm.

进一步,减薄所述第二间隙壁之后,剩余的所述第二间隙壁的厚度范围为2~6nm。Further, after the second spacer is thinned, the thickness of the remaining second spacer ranges from 2 to 6 nm.

进一步,所述第二回蚀刻的深度范围为10~20nm。Further, the depth range of the second etching back is 10-20 nm.

进一步,所述第二应力外延层和所述第三应力外延层的材料均包括SiP。Further, the materials of the second stressed epitaxial layer and the third stressed epitaxial layer both include SiP.

进一步,使用湿法蚀刻的方法实现对所述第一间隙壁的减薄和对所述第二间隙壁的减薄。Further, the thinning of the first spacer and the thinning of the second spacer are realized by using a wet etching method.

进一步,所述湿法蚀刻使用包括磷酸的蚀刻剂。Further, the wet etching uses an etchant including phosphoric acid.

进一步,所述方法还包括:Further, the method also includes:

在所述半导体衬底、所述第一应力外延层、所述第三应力外延层以及所述第二间隙壁的表面上形成接触孔蚀刻停止层;forming a contact hole etch stop layer on surfaces of the semiconductor substrate, the first stressed epitaxial layer, the third stressed epitaxial layer and the second spacer;

在所述接触孔蚀刻停止层上沉积层间介电层,并平坦化所述层间介电层。An interlayer dielectric layer is deposited on the contact hole etch stop layer, and the interlayer dielectric layer is planarized.

进一步,所述第一应力外延层的材料包括SiGe。Further, the material of the first stressed epitaxial layer includes SiGe.

本发明实施例二提供一种半导体器件,包括:The second embodiment of the present invention provides a semiconductor device, including:

半导体衬底,所述半导体衬底包括PMOS区和NMOS区,在所述PMOS区和NMOS区内的所述半导体衬底上分别形成有第一鳍片结构和第二鳍片结构;a semiconductor substrate, the semiconductor substrate includes a PMOS region and an NMOS region, and a first fin structure and a second fin structure are respectively formed on the semiconductor substrate in the PMOS region and the NMOS region;

在所述PMOS区和NMOS区分别形成有横跨部分所述第一鳍片结构和部分所述第二鳍片结构的第一栅极结构和第二栅极结构;A first gate structure and a second gate structure spanning a part of the first fin structure and a part of the second fin structure are respectively formed in the PMOS region and the NMOS region;

在所述第一栅极结构两侧的所述第一鳍片结构的源/漏区内形成有第一应力外延层;A first stress epitaxial layer is formed in the source/drain regions of the first fin structure on both sides of the first gate structure;

在所述第二栅极结构两侧的所述第二鳍片结构的源漏区内自下而上形成有第一宽度的第二应力外延层、第二宽度的第三应力外延层和第三宽度的所述第三应力外延层,其中,所述第一宽度小于所述第二宽度,所述第二宽度小于所述第三宽度;A second stress epitaxial layer with a first width, a third stress epitaxial layer with a second width and a The third stress epitaxial layer with three widths, wherein the first width is smaller than the second width, and the second width is smaller than the third width;

在所述第二鳍片结构、所述第二应力外延层、所述第二宽度的第三应力外延层的侧壁上形成有间隙壁。Spacers are formed on sidewalls of the second fin structure, the second stressed epitaxial layer, and the third stressed epitaxial layer of the second width.

进一步,在所述第一应力外延层的表面上还形成有第一氧化物层。Further, a first oxide layer is also formed on the surface of the first stressed epitaxial layer.

进一步,在所述第一氧化物层的表面上、所述第一鳍片结构的侧壁上以及所述PMOS区内的半导体衬底表面上形成有间隙壁材料层。Further, a spacer material layer is formed on the surface of the first oxide layer, the sidewall of the first fin structure and the surface of the semiconductor substrate in the PMOS region.

进一步,所述第二应力外延层和所述第三应力外延层的材料均包括SiP。Further, the materials of the second stressed epitaxial layer and the third stressed epitaxial layer both include SiP.

进一步,还包括:Further, it also includes:

在所述半导体衬底、所述第一应力外延层、所述第三应力外延层以及所述间隙壁的表面上形成有接触孔蚀刻停止层;A contact hole etch stop layer is formed on the surface of the semiconductor substrate, the first stressed epitaxial layer, the third stressed epitaxial layer and the spacer;

在所述接触孔蚀刻停止层上沉积有层间介电层。An interlayer dielectric layer is deposited on the contact hole etch stop layer.

进一步,所述第一应力外延层的材料包括SiGe。Further, the material of the first stressed epitaxial layer includes SiGe.

本发明实施例三提供一种电子装置,其包括前述的半导体器件。The third embodiment of the present invention provides an electronic device including the aforementioned semiconductor device.

根据本发明的制造方法,在NMOS区的源/漏区生长应力外延层时使用间隙壁作为引导,因此不会形成合并外延层(merged epitaxy),另外,由于扩大了应力外延层的顶部,使接触面积更大,因此应力外延层具有较低的外电阻(external resistance),再者,由于基本上未增大底部应力外延层的体积,使短沟道效应也得到了很好的控制,因此,根据本发明的制造方法,合理的平衡了源/漏极应力外延层的体积和轮廓,提高了器件的性能和良率。According to the manufacturing method of the present invention, the spacer is used as a guide when the stress epitaxial layer is grown in the source/drain regions of the NMOS region, so no merged epitaxial layer (merged epitaxy) is formed. The contact area is larger, so the stress epitaxial layer has lower external resistance, and since the volume of the bottom stress epitaxial layer is not substantially increased, the short channel effect is also well controlled, so , according to the manufacturing method of the present invention, the volume and contour of the source/drain stress epitaxial layer are reasonably balanced, and the performance and yield of the device are improved.

附图说明Description of drawings

本发明的下列附图在此作为本发明的一部分用于理解本发明。附图中示出了本发明的实施例及其描述,用来解释本发明的原理。The following drawings of the present invention are incorporated herein as a part of the present invention for understanding of the present invention. The accompanying drawings illustrate embodiments of the present invention and their description, which serve to explain the principles of the present invention.

附图中:In the attached picture:

图1至图18示出了本发明一实施例中的一种半导体器件的制造方法的相关步骤形成的结构的剖视图;1 to 18 are cross-sectional views of structures formed by related steps of a method for manufacturing a semiconductor device in an embodiment of the present invention;

图19示出了本发明的一实施例的一种半导体器件的制造方法的示意性流程图;FIG. 19 shows a schematic flowchart of a method for manufacturing a semiconductor device according to an embodiment of the present invention;

图20示出了本发明一实施例中的电子装置的示意图。FIG. 20 shows a schematic diagram of an electronic device in an embodiment of the present invention.

具体实施方式Detailed ways

在下文的描述中,给出了大量具体的细节以便提供对本发明更为彻底的理解。然而,对于本领域技术人员而言显而易见的是,本发明可以无需一个或多个这些细节而得以实施。在其他的例子中,为了避免与本发明发生混淆,对于本领域公知的一些技术特征未进行描述。In the following description, numerous specific details are set forth in order to provide a more thorough understanding of the present invention. It will be apparent, however, to one skilled in the art that the present invention may be practiced without one or more of these details. In other instances, some technical features known in the art have not been described in order to avoid obscuring the present invention.

应当理解的是,本发明能够以不同形式实施,而不应当解释为局限于这里提出的实施例。相反地,提供这些实施例将使公开彻底和完全,并且将本发明的范围完全地传递给本领域技术人员。在附图中,为了清楚,层和区的尺寸以及相对尺寸可能被夸大。自始至终相同附图标记表示相同的元件。It should be understood that the present invention may be embodied in different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. In the drawings, the size and relative sizes of layers and regions may be exaggerated for clarity. The same reference numbers refer to the same elements throughout.

应当明白,当元件或层被称为“在...上”、“与...相邻”、“连接到”或“耦合到”其它元件或层时,其可以直接地在其它元件或层上、与之相邻、连接或耦合到其它元件或层,或者可以存在居间的元件或层。相反,当元件被称为“直接在...上”、“与...直接相邻”、“直接连接到”或“直接耦合到”其它元件或层时,则不存在居间的元件或层。应当明白,尽管可使用术语第一、第二、第三等描述各种元件、部件、区、层和/或部分,这些元件、部件、区、层和/或部分不应当被这些术语限制。这些术语仅仅用来区分一个元件、部件、区、层或部分与另一个元件、部件、区、层或部分。因此,在不脱离本发明教导之下,下面讨论的第一元件、部件、区、层或部分可表示为第二元件、部件、区、层或部分。It will be understood that when an element or layer is referred to as being "on," "adjacent to," "connected to," or "coupled to" other elements or layers, it can be directly on the other elements or layers Layers may be on, adjacent to, connected or coupled to other elements or layers, or intervening elements or layers may be present. In contrast, when an element is referred to as being "directly on," "directly adjacent to," "directly connected to," or "directly coupled to" other elements or layers, there are no intervening elements or layers present. Floor. It will be understood that, although the terms first, second, third, etc. may be used to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer or section from another element, component, region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the present invention.

空间关系术语例如“在...下”、“在...下面”、“下面的”、“在...之下”、“在...之上”、“上面的”等,在这里可为了方便描述而被使用从而描述图中所示的一个元件或特征与其它元件或特征的关系。应当明白,除了图中所示的取向以外,空间关系术语意图还包括使用和操作中的器件的不同取向。例如,如果附图中的器件翻转,然后,描述为“在其它元件下面”或“在其之下”或“在其下”元件或特征将取向为在其它元件或特征“上”。因此,示例性术语“在...下面”和“在...下”可包括上和下两个取向。器件可以另外地取向(旋转90度或其它取向)并且在此使用的空间描述语相应地被解释。Spatial relational terms such as "under", "below", "below", "under", "above", "above", etc., in It may be used herein for convenience of description to describe the relationship of one element or feature to other elements or features shown in the figures. It should be understood that the spatially relative terms are intended to encompass different orientations of the device in use and operation in addition to the orientation shown in the figures. For example, if the device in the figures is turned over, then elements or features described as "below" or "beneath" or "beneath" other elements or features would then be oriented "above" the other elements or features. Thus, the exemplary terms "below" and "under" can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatial descriptors used herein interpreted accordingly.

在此使用的术语的目的仅在于描述具体实施例并且不作为本发明的限制。在此使用时,单数形式的“一”、“一个”和“所述/该”也意图包括复数形式,除非上下文清楚指出另外的方式。还应明白术语“组成”和/或“包括”,当在该说明书中使用时,确定所述特征、整数、步骤、操作、元件和/或部件的存在,但不排除一个或更多其它的特征、整数、步骤、操作、元件、部件和/或组的存在或添加。在此使用时,术语“和/或”包括相关所列项目的任何及所有组合。The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used herein, the singular forms "a," "an," and "the/the" are intended to include the plural forms as well, unless the context clearly dictates otherwise. It should also be understood that the terms "compose" and/or "include", when used in this specification, identify the presence of stated features, integers, steps, operations, elements and/or components, but do not exclude one or more other The presence or addition of features, integers, steps, operations, elements, parts and/or groups. As used herein, the term "and/or" includes any and all combinations of the associated listed items.

这里参考作为本发明的理想实施例(和中间结构)的示意图的横截面图来描述发明的实施例。这样,可以预期由于例如制造技术和/或容差导致的从所示形状的变化。因此,本发明的实施例不应当局限于在此所示的区的特定形状,而是包括由于例如制造导致的形状偏差。例如,显示为矩形的注入区在其边缘通常具有圆的或弯曲特征和/或注入浓度梯度,而不是从注入区到非注入区的二元改变。同样,通过注入形成的埋藏区可导致该埋藏区和注入进行时所经过的表面之间的区中的一些注入。因此,图中显示的区实质上是示意性的,它们的形状并不意图显示器件的区的实际形状且并不意图限定本发明的范围。Embodiments of the invention are described herein with reference to cross-sectional illustrations that are schematic illustrations of idealized embodiments (and intermediate structures) of the invention. As such, variations from the shapes shown may be expected due to, for example, manufacturing techniques and/or tolerances. Accordingly, embodiments of the present invention should not be limited to the particular shapes of the regions shown herein, but include shape deviations due, for example, to manufacturing. For example, an implanted region shown as a rectangle typically has rounded or curved features and/or a gradient of implant concentration at its edges rather than a binary change from implanted to non-implanted region. Likewise, a buried region formed by implantation may result in some implantation in the region between the buried region and the surface over which the implantation proceeds. Thus, the regions shown in the figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of the invention.

为了彻底理解本发明,将在下列的描述中提出详细的步骤以及详细的结构,以便阐释本发明提出的技术方案。本发明的较佳实施例详细描述如下,然而除了这些详细描述外,本发明还可以具有其他实施方式。For a thorough understanding of the present invention, detailed steps and detailed structures will be proposed in the following description to explain the technical solutions proposed by the present invention. Preferred embodiments of the present invention are described in detail below, however, the present invention may have other embodiments in addition to these detailed descriptions.

实施例一Example 1

鉴于现有技术中存在的问题,本发明提供一种半导体器件的制造方法,如图20所示,其主要包括以下步骤:In view of the problems existing in the prior art, the present invention provides a method for manufacturing a semiconductor device, as shown in FIG. 20 , which mainly includes the following steps:

步骤S201,提供半导体衬底,所述半导体衬底包括PMOS区和NMOS区,在所述PMOS区和NMOS区内的半导体衬底上分别形成有第一鳍片结构和第二鳍片结构;Step S201, providing a semiconductor substrate, the semiconductor substrate includes a PMOS region and an NMOS region, and a first fin structure and a second fin structure are respectively formed on the semiconductor substrate in the PMOS region and the NMOS region;

步骤S202,在所述PMOS区和NMOS区分别形成横跨部分所述第一鳍片结构和部分第二鳍片结构的第一伪栅极结构和第二伪栅极结构;Step S202, forming a first dummy gate structure and a second dummy gate structure spanning part of the first fin structure and part of the second fin structure in the PMOS region and the NMOS region respectively;

步骤S203,在所述第一伪栅极结构两侧的所述第一鳍片结构的源/漏区内生长第一应力外延层;Step S203, growing a first stress epitaxial layer in the source/drain regions of the first fin structure on both sides of the first dummy gate structure;

步骤S204,在所述第二伪栅极结构两侧的所述第二鳍片结构两侧壁上形成第一间隙壁;Step S204, forming first spacers on both sidewalls of the second fin structure on both sides of the second dummy gate structure;

步骤S205,对暴露的所述第二鳍片结构的源/漏区进行第一回蚀刻,以去除部分所述第二鳍片结构形成第一凹槽;Step S205, performing a first etch back on the exposed source/drain regions of the second fin structure to remove part of the second fin structure to form a first groove;

步骤S206,减薄所述第一间隙壁的厚度,以扩大所述第一凹槽的宽度至第一宽度;Step S206, reducing the thickness of the first spacer to expand the width of the first groove to a first width;

步骤S207,在所述第一凹槽内露出的所述第二鳍片结构上生长第二应力外延层,以填充所述第一凹槽,其中所述第二应力外延层的宽度为所述第一宽度;Step S207, growing a second stress epitaxial layer on the second fin structure exposed in the first groove to fill the first groove, wherein the width of the second stress epitaxial layer is the first width;

步骤S208,在所述第二鳍片结构和所述第二应力外延层的侧壁上形成第二间隙壁;Step S208, forming second spacers on the sidewalls of the second fin structure and the second stress epitaxial layer;

步骤S209,第二回蚀刻去除部分所述第二应力外延层,以形成第二凹槽;Step S209, a second etch back to remove part of the second stress epitaxial layer to form a second groove;

步骤S210,减薄所述第二间隙壁的厚度,以扩大所述第二凹槽的宽度至第二宽度;Step S210, reducing the thickness of the second spacer to expand the width of the second groove to a second width;

步骤S211,在所述第二应力外延层的表面上生长第三应力外延层,以填充满所述第二凹槽并溢出到剩余的所述第二间隙壁的顶面上,其中,所述第二凹槽内的所述第三应力外延层的宽度为所述第二宽度,位于所述第二间隙壁顶面以上的所述第三应力外延层具有第三宽度,其中,所述第一宽度小于所述第二宽度,所述第二宽度小于所述第三宽度。Step S211, growing a third stress epitaxial layer on the surface of the second stress epitaxial layer to fill the second groove and overflow the top surface of the remaining second spacers, wherein the The width of the third stressed epitaxial layer in the second groove is the second width, and the third stressed epitaxial layer located above the top surface of the second spacer has a third width, wherein the first A width is smaller than the second width, and the second width is smaller than the third width.

根据本发明的制造方法,在NMOS区源/漏区生长应力外延层时使用间隙壁作为引导,因此不会形成合并外延层(merged epitaxy),另外,由于扩大了应力外延层的顶部,使接触面积更大,因此应力外延层具有较低的外电阻,再者,由于基本上未增大底部应力外延层的体积,使短沟道效应也得到了很好的控制,因此,根据本发明的制造方法,合理的平衡了源/漏极应力外延层的体积和轮廓,提高了器件的性能和良率。According to the manufacturing method of the present invention, a spacer is used as a guide when growing the stressed epitaxial layer in the source/drain regions of the NMOS region, so that a merged epitaxial layer is not formed. In addition, since the top of the stressed epitaxial layer is enlarged, the contact The area of the stress epitaxial layer is larger, so the stress epitaxial layer has lower external resistance. Furthermore, since the volume of the stress epitaxial layer at the bottom is not substantially increased, the short channel effect is also well controlled. Therefore, according to the present invention The manufacturing method reasonably balances the volume and contour of the source/drain stress epitaxial layer, and improves the performance and yield of the device.

下面,参考图1至图18对本发明的半导体器件的制造方法的进行详细描述,其中,图1至图18示出了本发明一实施例中的一种半导体器件的制造方法的相关步骤形成的结构的剖视图。Hereinafter, the method for manufacturing a semiconductor device of the present invention will be described in detail with reference to FIGS. 1 to 18 , wherein FIGS. 1 to 18 illustrate the formation of Cutaway view of the structure.

具体地,首先,如图1所示,提供半导体衬底100,所述半导体衬底100包括PMOS区和NMOS区,在所述PMOS区和NMOS区内的半导体衬底100上分别形成有第一鳍片结构1011和第二鳍片结构1012。Specifically, first, as shown in FIG. 1 , a semiconductor substrate 100 is provided, the semiconductor substrate 100 includes a PMOS region and an NMOS region, and a first semiconductor substrate 100 is formed on the PMOS region and the NMOS region respectively. Fin structure 1011 and second fin structure 1012 .

具体地,半导体衬底100其可以是以下所提到的材料中的至少一种:Si、Ge、SiGe、SiC、SiGeC、InAs、GaAs、InP或者其它III/V化合物半导体,还包括这些半导体构成的多层结构等,或者为绝缘体上硅(SOI)、绝缘体上层叠硅(SSOI)、绝缘体上层叠锗化硅(S-SiGeOI)、绝缘体上锗化硅(SiGeOI)以及绝缘体上锗(GeOI)等。本实施例中,半导体衬底100较佳地为硅衬底。Specifically, the semiconductor substrate 100 may be at least one of the following mentioned materials: Si, Ge, SiGe, SiC, SiGeC, InAs, GaAs, InP or other III/V compound semiconductors, and also includes these semiconductor components multi-layer structures, etc., or silicon-on-insulator (SOI), silicon-on-insulator (SSOI), silicon-germanium-on-insulator (S-SiGeOI), silicon-germanium-on-insulator (SiGeOI), and germanium-on-insulator (GeOI) Wait. In this embodiment, the semiconductor substrate 100 is preferably a silicon substrate.

在所述PMOS区内的半导体衬底100上形成有第一鳍片结构1011,在每个所述NMOS区内的半导体衬底100上形成有第二鳍片结构1012。A first fin structure 1011 is formed on the semiconductor substrate 100 in the PMOS region, and a second fin structure 1012 is formed on the semiconductor substrate 100 in each of the NMOS regions.

在一个示例中,形成所述第一鳍片结构1011和所述第二鳍片结构1012的方法包括以下步骤:In one example, the method of forming the first fin structure 1011 and the second fin structure 1012 includes the following steps:

在所述半导体衬底100的表面形成图案化的掩膜层,所述图案化的掩膜层定义有所述第一鳍片结构1011和所述第二鳍片结构1012的图案,包括鳍片的宽度、长度以及位置等;以所述图案化的掩膜层为掩膜,蚀刻所述半导体衬底100,以形成所述第一鳍片结构1011和第二鳍片结构1012。掩模层通常可以包括数种掩模材料的任何一种,包括但不限于:硬掩模材料和光刻胶掩模材料。可采用干法蚀刻或者湿法蚀刻等方法进行上述蚀刻,其中,干蚀刻工艺可以为反应离子蚀刻、离子束蚀刻、等离子蚀刻、激光烧蚀或者这些方法的任意组合。也可以使用单一的蚀刻方法,或者也可以使用多于一个的蚀刻方法。A patterned mask layer is formed on the surface of the semiconductor substrate 100, and the patterned mask layer defines patterns of the first fin structure 1011 and the second fin structure 1012, including fins The width, length and position, etc. of the patterned mask layer are used as a mask, and the semiconductor substrate 100 is etched to form the first fin structure 1011 and the second fin structure 1012 . The mask layer can generally include any of several mask materials including, but not limited to, hard mask materials and photoresist mask materials. The above-mentioned etching may be performed by methods such as dry etching or wet etching, wherein the dry etching process may be reactive ion etching, ion beam etching, plasma etching, laser ablation or any combination of these methods. A single etching method may also be used, or more than one etching method may be used.

需要注意的是,形成所述第一鳍片结构1011和所述第二鳍片结构1012的方法仅仅是示例性的,并不局限于上述方法。It should be noted that, the methods of forming the first fin structure 1011 and the second fin structure 1012 are only exemplary, and are not limited to the above methods.

鳍片结构的宽度全部相同,或者鳍片分为具有不同宽度的多个鳍片结构组,鳍片结构的长度也可不相同。The widths of the fin structures are all the same, or the fins are divided into a plurality of fin structure groups with different widths, and the lengths of the fin structures may also be different.

在半导体衬底100上还形成有隔离结构102,隔离结构102可以为浅沟槽隔离(STI)结构或者局部氧化硅(LOCOS)隔离结构,在本实施例中,隔离结构102较佳地为浅沟槽隔离结构。该隔离结构102的顶面低于第一鳍片结构1011和所述第二鳍片结构1012的顶面。半导体衬底100中还形成有各种阱(well)结构,例如,在PMOS区内形成有N型阱,在NMOS区内形成有P型阱,为了简化,图示中予以省略。An isolation structure 102 is also formed on the semiconductor substrate 100. The isolation structure 102 may be a shallow trench isolation (STI) structure or a localized silicon oxide (LOCOS) isolation structure. In this embodiment, the isolation structure 102 is preferably shallow trench isolation structure. The top surface of the isolation structure 102 is lower than the top surfaces of the first fin structure 1011 and the second fin structure 1012 . Various well structures are also formed in the semiconductor substrate 100 , for example, an N-type well is formed in the PMOS region, and a P-type well is formed in the NMOS region, which are omitted from the illustration for simplicity.

接着,如图3所示,在所述PMOS区和NMOS区分别形成横跨部分所述第一鳍片结构1011和部分第二鳍片结构1012的第一伪栅极结构和第二伪栅极结构。Next, as shown in FIG. 3 , a first dummy gate structure and a second dummy gate are formed in the PMOS region and the NMOS region respectively across a part of the first fin structure 1011 and a part of the second fin structure 1012 structure.

示例性地,第一伪栅极结构和第二伪栅极结构均包括伪栅极介电层1031和伪栅极材料层1032。Exemplarily, both the first dummy gate structure and the second dummy gate structure include a dummy gate dielectric layer 1031 and a dummy gate material layer 1032 .

需要指出的是,本发明中所使用的术语“横跨”,例如横跨鳍片结构(例如第一鳍片结构、第二鳍片结构等)的栅极结构(例如,伪栅极结构),是指在鳍片结构的部分的上表面和侧面均形成有栅极结构,并且该栅极结构还形成在半导体衬底的部分表面上。It should be pointed out that the term "span" used in the present invention, for example, spans the gate structure (eg, the dummy gate structure) of the fin structure (eg, the first fin structure, the second fin structure, etc.) , means that a gate structure is formed on both the upper surface and the side surface of a part of the fin structure, and the gate structure is also formed on a part of the surface of the semiconductor substrate.

在一个示例中,如图2所示,可先在半导体衬底100上依次沉积形成伪栅极介电层1031。In one example, as shown in FIG. 2 , a dummy gate dielectric layer 1031 may be formed by sequentially depositing on the semiconductor substrate 100 first.

其中,所述伪栅极介电层1031可以是氧化硅(SiO2)或氮氧化硅(SiON)。可以采用本领域技术人员所习知的氧化工艺例如炉管氧化、快速热退火氧化(RTO)、原位水蒸气氧化(ISSG)等形成氧化硅材质的伪栅极介电层1031。对氧化硅执行氮化工艺可形成氮氧化硅,其中,所述氮化工艺可以是高温炉管氮化、快速热退火氮化或等离子体氮化,当然,还可以采用其它的氮化工艺,这里不再赘述。也可以为其他的化学气相沉积方法和物理气相沉积方法等形成伪栅极介电层1031。The dummy gate dielectric layer 1031 may be silicon oxide (SiO 2 ) or silicon oxynitride (SiON). The dummy gate dielectric layer 1031 made of silicon oxide may be formed by an oxidation process known to those skilled in the art, such as furnace tube oxidation, rapid thermal annealing oxidation (RTO), in-situ steam oxidation (ISSG), and the like. Performing a nitridation process on silicon oxide can form silicon oxynitride, wherein the nitridation process can be high temperature furnace tube nitridation, rapid thermal annealing nitridation or plasma nitridation, of course, other nitridation processes can also be used, I won't go into details here. The dummy gate dielectric layer 1031 may also be formed for other chemical vapor deposition methods, physical vapor deposition methods, and the like.

在一个示例中,在露出的第一鳍片结构1011和第二鳍片结构1012所有的表面上形成有伪栅极介电层1031。In one example, a dummy gate dielectric layer 1031 is formed on all surfaces of the exposed first fin structure 1011 and the second fin structure 1012 .

接着,如图3所示,在所述伪栅极介电层1031上形成伪栅极材料层1032,并进行化学机械研磨以获得平坦的表面。Next, as shown in FIG. 3 , a dummy gate material layer 1032 is formed on the dummy gate dielectric layer 1031 , and chemical mechanical polishing is performed to obtain a flat surface.

伪栅极材料层1032可以选用本领域常用的半导体材料,例如可以选用多晶硅等,并不局限于某一种,在此不再一一列举、The dummy gate material layer 1032 can be selected from semiconductor materials commonly used in the field, such as polysilicon, etc., which is not limited to one, and will not be listed here.

所述伪栅极材料层的沉积方法包括化学气相沉积法(CVD),如低温化学气相沉积(LTCVD)、低压化学气相沉积(LPCVD)、快热化学气相沉积(LTCVD)、等离子体化学气相沉积(PECVD),也可使用例如溅镀及物理气相沉积(PVD)等一般相似方法。The deposition method of the dummy gate material layer includes chemical vapor deposition (CVD), such as low temperature chemical vapor deposition (LTCVD), low pressure chemical vapor deposition (LPCVD), fast thermal chemical vapor deposition (LTCVD), plasma chemical vapor deposition (PECVD), generally similar methods such as sputtering and physical vapor deposition (PVD) can also be used.

然后图案化伪栅极介电层1031和所述伪栅极材料层1032,以形成第一伪栅极结构和第二伪栅极结构。具体地,在所述伪栅极材料层上形成硬掩膜层11,然后在硬掩膜层11上形成光刻胶层,然后曝光显影,以形成开口,然后以所述光刻胶层为掩膜蚀刻所述硬掩膜层11和伪栅极材料层1032。The dummy gate dielectric layer 1031 and the dummy gate material layer 1032 are then patterned to form a first dummy gate structure and a second dummy gate structure. Specifically, a hard mask layer 11 is formed on the dummy gate material layer, then a photoresist layer is formed on the hard mask layer 11, and then exposed and developed to form openings, and then the photoresist layer is used as The hard mask layer 11 and the dummy gate material layer 1032 are mask etched.

之后,还可选择性地,在第一伪栅极结构和第二伪栅极结构的侧壁上形成偏移侧墙(未示出)。Afterwards, optionally, offset spacers (not shown) are formed on the sidewalls of the first dummy gate structure and the second dummy gate structure.

具体地,所述偏移侧墙可以为氧化硅、氮化硅、氮氧化硅中一种或者它们组合构成。作为本实施例的一中实施方式,所述偏移侧墙为氧化硅、氮化硅共同组成,具体工艺为:在半导体衬底上形成第一氧化硅层、第一氮化硅层以及第二氧化硅层,然后采用蚀刻方法形成偏移侧墙。也可以在伪栅极结构的顶面和侧壁上均形成侧墙材料层,在之后的步骤中通过平坦化的方法,例如化学机械研磨,将顶面上的侧墙材料层去除,形成仅仅位于侧壁上的偏移侧墙。Specifically, the offset spacers may be one of silicon oxide, silicon nitride, silicon oxynitride, or a combination thereof. As an implementation manner of this embodiment, the offset spacers are composed of silicon oxide and silicon nitride. The specific process is: forming a first silicon oxide layer, a first silicon nitride layer, and a first silicon nitride layer on a semiconductor substrate. The silicon dioxide layer is then etched to form offset spacers. It is also possible to form a spacer material layer on both the top surface and the sidewall of the dummy gate structure, and in a subsequent step, the spacer material layer on the top surface is removed by a planarization method, such as chemical mechanical polishing, to form only a Offset side wall on side wall.

随后,对所述PMOS区和所述NMOS区分别进行LDD离子注入。Subsequently, LDD ion implantation is performed on the PMOS region and the NMOS region, respectively.

其中,LDD离子注入以在源/漏区形成轻掺杂漏(LDD)结构,可以降低电场,并可以显著改进热电子效应。Among them, LDD ion implantation to form a lightly doped drain (LDD) structure in the source/drain regions can reduce the electric field and can significantly improve the hot electron effect.

示例性地,对PMOS区内的第一伪栅极结构两侧的第一鳍片结构1011进行LDD离子注入,以形成P型轻掺杂漏(LDD),其注入离子可以为任意的P型掺杂离子,包括但不限于硼(B)离子、铟(In)离子。Exemplarily, LDD ion implantation is performed on the first fin structures 1011 on both sides of the first dummy gate structure in the PMOS region to form a P-type lightly doped drain (LDD), and the implanted ions can be any P-type Doping ions, including but not limited to boron (B) ions, indium (In) ions.

再对NMOS区内的第二伪栅极结构两侧的第二鳍片结构1012进行LDD离子注入,以形成N型轻掺杂漏(LDD),其注入离子可以为任意适合的N型掺杂离子,包括但不限于磷(P)离子、砷(As)离子。Then, LDD ion implantation is performed on the second fin structures 1012 on both sides of the second dummy gate structure in the NMOS region to form an N-type lightly doped drain (LDD). The implanted ions can be any suitable N-type dopant. Ions, including but not limited to phosphorus (P) ions, arsenic (As) ions.

接着,如图4所示,沉积第一间隙壁材料层104,以覆盖所述PMOS区和所述NMOS区。Next, as shown in FIG. 4 , a first spacer material layer 104 is deposited to cover the PMOS region and the NMOS region.

具体地,第一间隙壁材料层104形成于露出的隔离结构102的表面上、所述第一伪栅极结构和第二伪栅极结构的顶面以及侧壁上、以及第一伪栅极结构和第二伪栅极结构两侧的第一鳍片结构1011和第二鳍片结构1012的侧壁和顶面上。Specifically, the first spacer material layer 104 is formed on the surface of the exposed isolation structure 102 , the top surfaces and sidewalls of the first and second dummy gate structures, and the first dummy gate Sidewalls and top surfaces of the first fin structure 1011 and the second fin structure 1012 on both sides of the structure and the second dummy gate structure.

第一间隙壁材料层104可以为氧化硅、氮化硅、氮氧化硅中一种或者它们组合构成。作为本实施例的一种实施方式,第一间隙壁材料层104为氮化硅。The first spacer material layer 104 may be one of silicon oxide, silicon nitride, silicon oxynitride, or a combination thereof. As an implementation of this embodiment, the first spacer material layer 104 is silicon nitride.

可以使用包括但不限于:化学气相沉积方法和物理气相沉积方法的方法形成第一间隙壁材料层104。The first spacer material layer 104 may be formed using methods including, but not limited to, chemical vapor deposition methods and physical vapor deposition methods.

接着,如图5所示,形成图案化的第一光刻胶层1051,以覆盖所述NMOS区,露出所述PMOS区。Next, as shown in FIG. 5 , a patterned first photoresist layer 1051 is formed to cover the NMOS region and expose the PMOS region.

具体地,利用光刻工艺(包括涂覆光刻胶,以及曝光显影等过程)形成该图案化的第一光刻胶层1051,图案化的第一光刻胶层1051露出所述PMOS区内的第一间隙壁材料层104。Specifically, the patterned first photoresist layer 1051 is formed by a photolithography process (including coating photoresist, exposure and development, etc.), and the patterned first photoresist layer 1051 is exposed in the PMOS region The first spacer material layer 104 .

随后,以所述图案化的第一光刻胶层1051为掩膜,蚀刻去除位于所述第一鳍片结构1011顶面上以及位于所述半导体衬底100表面上(也即隔离结构102表面上)的部分所述第一间隙壁材料层104,保留第一伪栅极结构的侧壁上以及所述第一伪栅极结构两侧的所述第一鳍片结构1021侧壁上的第一间隙壁材料层104。Then, using the patterned first photoresist layer 1051 as a mask, etching and removing the top surface of the first fin structure 1011 and the surface of the semiconductor substrate 100 (ie, the surface of the isolation structure 102 ) (top) part of the first spacer material layer 104, retaining the first spacer material layer 104 on the sidewall of the first dummy gate structure and on the sidewall of the first fin structure 1021 on both sides of the first dummy gate structure A spacer material layer 104 .

蚀刻的方法可以使用本领域技术人员熟知的任何适合的干法蚀刻或者湿法蚀刻等方法。The etching method can use any suitable dry etching or wet etching method known to those skilled in the art.

随后,继续如图5所示,回蚀刻去除所述第一伪栅极结构两侧的源/漏区内的部分所述第一鳍片结构1011以及所述第一鳍片结构1011上的部分所述第一间隙壁材料层104。Subsequently, as shown in FIG. 5 , part of the first fin structure 1011 and part of the first fin structure 1011 in the source/drain regions on both sides of the first dummy gate structure are removed by etching back The first spacer material layer 104 .

回蚀刻可以使用本领域技术人员熟知的任何适合的干法蚀刻或者湿法蚀刻等方法。较佳地,使用各向异性的干法蚀刻方法,干法蚀刻工艺包括但不限于:反应离子蚀刻(RIE)、离子束蚀刻、等离子体蚀刻或者激光切割。最好通过一个或者多个RIE步骤进行干法蚀刻。The etch-back may use any suitable dry etching or wet etching method known to those skilled in the art. Preferably, an anisotropic dry etching method is used, and the dry etching process includes but is not limited to: reactive ion etching (RIE), ion beam etching, plasma etching or laser cutting. Dry etching is preferably performed by one or more RIE steps.

之后,去除所述图案化的第一光刻胶层1051。可以使用灰化的方法去除所述第一光刻胶层1051。After that, the patterned first photoresist layer 1051 is removed. The first photoresist layer 1051 may be removed using an ashing method.

接着,如图6所示,在所述第一伪栅极结构两侧的所述第一鳍片结构1011的源/漏区内生长第一应力外延层106。Next, as shown in FIG. 6 , a first stress epitaxial layer 106 is grown in the source/drain regions of the first fin structure 1011 on both sides of the first dummy gate structure.

可以使用选择性外延生长的方法在露出的第一鳍片结构1011的表面上生长第一应力外延层106,选择性外延生长可以采用低压化学气相沉积(LPCVD)、等离子体增强化学气相沉积(PECVD)、超高真空化学气相沉积(UHVCVD)、快速热化学气相沉积(RTCVD)和分子束外延(MBE)中的一种。The first stress epitaxial layer 106 can be grown on the surface of the exposed first fin structure 1011 using a selective epitaxial growth method, and the selective epitaxial growth can adopt low pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (PECVD) ), Ultra High Vacuum Chemical Vapor Deposition (UHVCVD), Rapid Thermal Chemical Vapor Deposition (RTCVD) and Molecular Beam Epitaxy (MBE).

第一应力外延层106的材料可以包括SiGe或其他可提供压应力的适合的材料。具体地,可采用化学气相沉积方法或者气体源分子束外延方法生长SiGe,用硅烷或者乙硅烷作为硅源,同时加入一定量的锗烷。例如,选用GeH4和SiH2Cl2作为反应气体,并选择H2作为载气,其中反应气体和载气的流量比为0.01-0.1,沉积的温度为300-1000℃,优选为650-750℃,气体压力为1-50Torr,优选为20-40Torr。The material of the first stressed epitaxial layer 106 may include SiGe or other suitable materials that can provide compressive stress. Specifically, SiGe can be grown by chemical vapor deposition method or gas source molecular beam epitaxy method, using silane or disilane as a silicon source, and adding a certain amount of germane at the same time. For example, select GeH 4 and SiH 2 Cl 2 as the reactive gas, and select H 2 as the carrier gas, wherein the flow ratio of the reactive gas and the carrier gas is 0.01-0.1, and the deposition temperature is 300-1000 ℃, preferably 650-750 °C, the gas pressure is 1-50 Torr, preferably 20-40 Torr.

在PMOS内形成具有压应力的应力层,CMOS器件的性能可以通过将压应力作用于PMOS来提高。A stress layer with compressive stress is formed in the PMOS, and the performance of the CMOS device can be improved by applying the compressive stress to the PMOS.

其中,较佳地,第一应力外延层106的截面形状较佳地为“∑”形。Wherein, preferably, the cross-sectional shape of the first stress epitaxial layer 106 is preferably a "Σ" shape.

接着,如图7所示,进行氧化处理,以在所述第一应力外延层106暴露的表面上形成第一氧化物层107。Next, as shown in FIG. 7 , an oxidation treatment is performed to form a first oxide layer 107 on the exposed surface of the first stressed epitaxial layer 106 .

可以采用本领域技术人员所习知的氧化工艺例如炉管氧化、快速热退火氧化(RTO)、原位水蒸气氧化(ISSG)等形成氧化硅材质的形成第一氧化物层107。The first oxide layer 107 of silicon oxide can be formed by using an oxidation process known to those skilled in the art, such as furnace tube oxidation, rapid thermal annealing oxidation (RTO), and in-situ steam oxidation (ISSG).

接着,继续如图7所示,沉积第二间隙壁材料层1081,以覆盖所述PMOS区和所述NMOS区。Next, as shown in FIG. 7 , a second spacer material layer 1081 is deposited to cover the PMOS region and the NMOS region.

第二间隙壁材料层1081可以使用与前述的第一间隙壁材料层104相同的材料,第二间隙壁材料层1081可以为氧化硅、氮化硅、氮氧化硅中一种或者它们组合构成。作为本实施例的一种实施方式,第二间隙壁材料层1081为氮化硅。The second spacer material layer 1081 may use the same material as the aforementioned first spacer material layer 104, and the second spacer material layer 1081 may be composed of one of silicon oxide, silicon nitride, silicon oxynitride, or a combination thereof. As an implementation of this embodiment, the second spacer material layer 1081 is silicon nitride.

可以使用包括但不限于:化学气相沉积方法和物理气相沉积方法的方法形成第二间隙壁材料层1081。The second spacer material layer 1081 may be formed using methods including, but not limited to, chemical vapor deposition methods and physical vapor deposition methods.

其中,在PMOS区内,第二间隙壁材料层1081覆盖所述第一应力外延层106的表面,位于所述第一氧化物层107之上,并在PMOS区内的第一鳍片结构1011的侧壁上和隔离结构102的表面上均形成第二间隙壁材料层1081。Wherein, in the PMOS region, the second spacer material layer 1081 covers the surface of the first stress epitaxial layer 106, is located on the first oxide layer 107, and is in the first fin structure 1011 in the PMOS region A second spacer material layer 1081 is formed on the sidewall of the isolation structure 102 and on the surface of the isolation structure 102 .

接着,如图8所示,形成图案化的第二光刻胶层1052,以覆盖所述PMOS区暴露所述NMOS区,以图案化的第二光刻胶层1052为掩膜,蚀刻去除所述第二鳍片结构1012顶面上以及NMOS区内的半导体衬底100表面上的所述第一间隙壁材料层和所述第二间隙壁材料层,以在所述第二鳍片结构1012的侧壁上形成所述第一间隙壁108,并露出部分所述第二鳍片结构1012的顶面。Next, as shown in FIG. 8 , a patterned second photoresist layer 1052 is formed to cover the PMOS region to expose the NMOS region, and the patterned second photoresist layer 1052 is used as a mask to etch and remove all the The first spacer material layer and the second spacer material layer on the top surface of the second fin structure 1012 and the surface of the semiconductor substrate 100 in the NMOS region, so that the second fin structure 1012 The first spacers 108 are formed on the sidewalls of the fins, and part of the top surface of the second fin structure 1012 is exposed.

具体地,利用光刻工艺(包括涂覆光刻胶,以及曝光显影等过程)形成该图案化的第二光刻胶层1052,图案化的第二光刻胶层1052露出所述NMOS区内的第二间隙壁材料层。Specifically, the patterned second photoresist layer 1052 is formed by using a photolithography process (including processes such as coating photoresist, exposure and development, etc.), and the patterned second photoresist layer 1052 is exposed in the NMOS region of the second spacer material layer.

其中,去除NMOS区内的半导体衬底100表面上的所述第一间隙壁材料层和所述第二间隙壁材料层,也即去除NMOS区内的隔离结构102表面上的所述第一间隙壁材料层和所述第二间隙壁材料层。The first spacer material layer and the second spacer material layer on the surface of the semiconductor substrate 100 in the NMOS region are removed, that is, the first gap on the surface of the isolation structure 102 in the NMOS region is removed a layer of wall material and the second layer of spacer material.

蚀刻的方法可以使用本领域技术人员熟知的任何适合的干法蚀刻或者湿法蚀刻等方法,较佳地,使用干法蚀刻的方法。The etching method can use any suitable dry etching or wet etching method known to those skilled in the art, preferably, a dry etching method is used.

示例性地,所述第一间隙壁108的厚度范围可以为60~120埃,上述厚度范围仅作为示例,其他适合的范围也可适用于本发明。Exemplarily, the thickness of the first spacer 108 may range from 60 to 120 angstroms. The above thickness range is only an example, and other suitable ranges are also applicable to the present invention.

接着,如图9所示,以图案化的第二光刻胶层1052为掩膜,对暴露的所述第二鳍片结构1012的源/漏区进行第一回蚀刻,以去除部分所述第二鳍片结构1012形成第一凹槽109。Next, as shown in FIG. 9, using the patterned second photoresist layer 1052 as a mask, the exposed source/drain regions of the second fin structure 1012 are etched back for a first time to remove part of the exposed source/drain regions of the second fin structure 1012. The second fin structure 1012 forms the first groove 109 .

在一个示例中,该第一回蚀刻还同时去除第二鳍片结构1012侧壁上的伪栅极介电层1031。In one example, the first etch back also simultaneously removes the dummy gate dielectric layer 1031 on the sidewalls of the second fin structure 1012 .

第一回蚀刻可以使用本领域技术人员熟知的任何适合的干法蚀刻或者湿法蚀刻或它们的组合等方法。较佳地,使用各向异性的干法蚀刻方法,干法蚀刻工艺包括但不限于:反应离子蚀刻(RIE)、离子束蚀刻、等离子体蚀刻或者激光切割。最好通过一个或者多个RIE步骤进行干法蚀刻。The first etching back can use any suitable dry etching or wet etching or a combination thereof known to those skilled in the art. Preferably, an anisotropic dry etching method is used, and the dry etching process includes but is not limited to: reactive ion etching (RIE), ion beam etching, plasma etching or laser cutting. Dry etching is preferably performed by one or more RIE steps.

之后,去除所述图案化的图案化的第二光刻胶层1052。可以使用灰化的方法去除图案化的第二光刻胶层1052。After that, the patterned patterned second photoresist layer 1052 is removed. The patterned second photoresist layer 1052 may be removed using an ashing method.

示例性地,所述第一回蚀刻的深度范围为20~40nm,也即从第二鳍片结构1012的顶面开始向下回蚀刻的深度范围为20~40nm,该深度范围仅作为示例。Exemplarily, the depth range of the first etching back is 20˜40 nm, that is, the depth range of the etching back from the top surface of the second fin structure 1012 is 20˜40 nm, and the depth range is only used as an example.

接着,如图10所示,对露出的所述第二鳍片结构1012的表面进行氧化,以形成第二氧化物层110。Next, as shown in FIG. 10 , the exposed surface of the second fin structure 1012 is oxidized to form a second oxide layer 110 .

具体地,对从第一凹槽109中露出的所述第二鳍片结构1012的表面进行氧化,以形成第二氧化物层110。该第二氧化物层110作为之后蚀刻第一间隙壁时的蚀刻停止层。Specifically, the surface of the second fin structure 1012 exposed from the first groove 109 is oxidized to form the second oxide layer 110 . The second oxide layer 110 serves as an etch stop layer when the first spacer is etched later.

可以采用本领域技术人员所习知的氧化工艺例如炉管氧化、快速热退火氧化(RTO)、原位水蒸气氧化(ISSG)等形成氧化硅材质的形成第二氧化物层110。The second oxide layer 110 of silicon oxide can be formed by using an oxidation process known to those skilled in the art, such as furnace tube oxidation, rapid thermal annealing oxidation (RTO), in-situ steam oxidation (ISSG), and the like.

接着,如图11所示,减薄所述第一间隙壁108的厚度,以扩大所述第一凹槽109的宽度至第一宽度L1。Next, as shown in FIG. 11 , the thickness of the first spacer 108 is reduced to expand the width of the first groove 109 to a first width L1 .

示例性地,使用湿法蚀刻的方法实现对所述第一间隙壁108的减薄。Exemplarily, the thinning of the first spacer 108 is achieved by using a wet etching method.

在一个示例中,在所述第一间隙壁108的材料为氮化硅时,所述湿法蚀刻可以使用包括磷酸的蚀刻剂,来实现对所述第一间隙壁108的减薄,该磷酸还可以为热的磷酸溶液,其对氮化硅具有高的蚀刻速率,而对氧化物等具有低的蚀刻速率。In one example, when the material of the first spacer 108 is silicon nitride, the wet etching may use an etchant including phosphoric acid to achieve thinning of the first spacer 108, the phosphoric acid It can also be a hot phosphoric acid solution, which has a high etch rate for silicon nitride and a low etch rate for oxides and the like.

其中,本实施例中,减薄所述第一间隙壁108之后,剩余的所述第一间隙壁108的厚度范围为2~6nm,但并不局限于此。Wherein, in this embodiment, after the first spacer 108 is thinned, the thickness of the remaining first spacer 108 ranges from 2 to 6 nm, but is not limited thereto.

值得一提的是,在该湿法蚀刻减薄所述第一间隙壁108的过程中,同时还会对PMOS区内的第二间隙壁材料层进行了蚀刻减薄。It is worth mentioning that, in the process of thinning the first spacer 108 by the wet etching, the second spacer material layer in the PMOS region is also etched and thinned at the same time.

随后,将第二氧化物层110通过预清洗进行去除,以露出第一凹槽109中的第二鳍片结构1012的顶面。示例性地,预清洗能够采用氢氟酸溶液,例如缓冲氧化物蚀刻剂(buffer oxide etchant(BOE))或氢氟酸缓冲溶液(buffer solution of hydrofluoricacid(BHF))。Subsequently, the second oxide layer 110 is removed by pre-cleaning to expose the top surface of the second fin structure 1012 in the first groove 109 . Illustratively, the pre-cleaning can employ a hydrofluoric acid solution, such as buffer oxide etchant (BOE) or buffer solution of hydrofluoric acid (BHF).

接着,如图12所示,在所述第一凹槽109内露出的所述第二鳍片结构1012上生长第二应力外延层111,以填充所述第一凹槽,其中所述第二应力外延层111的宽度为所述第一宽度L1。Next, as shown in FIG. 12 , a second stress epitaxial layer 111 is grown on the second fin structure 1012 exposed in the first groove 109 to fill the first groove, wherein the second stress epitaxial layer 111 is formed. The width of the stressed epitaxial layer 111 is the first width L1.

可以使用选择性外延生长的方法在露出的第二鳍片结构1012的表面上生长第二应力外延层111,选择性外延生长可以采用低压化学气相沉积(LPCVD)、等离子体增强化学气相沉积(PECVD)、超高真空化学气相沉积(UHVCVD)、快速热化学气相沉积(RTCVD)和分子束外延(MBE)中的一种。The second stress epitaxial layer 111 can be grown on the surface of the exposed second fin structure 1012 using a selective epitaxial growth method, and the selective epitaxial growth can adopt low pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (PECVD) ), Ultra High Vacuum Chemical Vapor Deposition (UHVCVD), Rapid Thermal Chemical Vapor Deposition (RTCVD) and Molecular Beam Epitaxy (MBE).

在NMOS中,第二应力外延层111通常具有拉应力。第二应力外延层111的材料可以为SiP、SiC或其他可提供拉应力的适合的材料。本实施例中,较佳地选择SiP作为第二应力外延层。具体地,可采用化学气相沉积方法或者气体源分子束外延方法生长SiP,用硅烷或者乙硅烷作为硅源,磷烷作为磷源。In NMOS, the second stressed epitaxial layer 111 generally has tensile stress. The material of the second stress epitaxial layer 111 can be SiP, SiC or other suitable materials that can provide tensile stress. In this embodiment, SiP is preferably selected as the second stress epitaxial layer. Specifically, the SiP can be grown by chemical vapor deposition method or gas source molecular beam epitaxy method, using silane or disilane as the silicon source, and phosphine as the phosphorus source.

其中,第二应力外延层111的顶面还可高于其侧壁上第一间隙壁108的顶面,该第一间隙壁108对于第二应力外延层111的生长具有引导作用,控制其在第一间隙壁之间的第一凹槽内向上生长。Wherein, the top surface of the second stressed epitaxial layer 111 may also be higher than the top surface of the first spacer 108 on the sidewall. The first grooves between the first spacers grow upward.

还可选择性地对第二应力外延层露出的表面进行氧化处理,以形成氧化物层,该氧化物层可以作为之后蚀刻间隙壁材料层时的蚀刻停止层。The exposed surface of the second stressed epitaxial layer can also be selectively oxidized to form an oxide layer, and the oxide layer can be used as an etch stop layer when etching the spacer material layer later.

接着,如图13所示,沉积第三间隙壁材料层1121,以覆盖所述PMOS区和所述NMOS区。Next, as shown in FIG. 13 , a third spacer material layer 1121 is deposited to cover the PMOS region and the NMOS region.

第三间隙壁材料层1121可以为氧化硅、氮化硅、氮氧化硅中一种或者它们组合构成。作为本实施例的一种实施方式,第三间隙壁材料层1121为氮化硅。The third spacer material layer 1121 may be one of silicon oxide, silicon nitride, and silicon oxynitride, or a combination thereof. As an implementation of this embodiment, the third spacer material layer 1121 is silicon nitride.

可以使用包括但不限于:化学气相沉积方法和物理气相沉积方法的方法形成第三间隙壁材料层1121。The third spacer material layer 1121 may be formed using methods including, but not limited to, chemical vapor deposition methods and physical vapor deposition methods.

接着,如图14所示,形成图案化的第三光刻胶层1053,以覆盖所述PMOS区暴露所述NMOS区,以图案化的第三光刻胶层1053为掩膜,蚀刻去除位于所述第二应力外延层111顶面上以及所述NMOS区内的半导体衬底100上的部分所述第三间隙壁材料层1121,以在所述第二鳍片结构1012和所述第二应力外延层111的侧壁上形成所述第二间隙壁112。Next, as shown in FIG. 14, a patterned third photoresist layer 1053 is formed to cover the PMOS region to expose the NMOS region, and the patterned third photoresist layer 1053 is used as a mask to remove the A part of the third spacer material layer 1121 on the top surface of the second stressed epitaxial layer 111 and on the semiconductor substrate 100 in the NMOS region, so that the second fin structure 1012 and the second The second spacers 112 are formed on the sidewalls of the stressed epitaxial layer 111 .

具体地,利用光刻工艺(包括涂覆光刻胶,以及曝光显影等过程)形成该图案化的第三光刻胶层1053,图案化的第三光刻胶层1053露出所述NMOS区内的第三间隙壁材料层1121。Specifically, the patterned third photoresist layer 1053 is formed by a photolithography process (including processes such as coating photoresist, exposure and development, etc.), and the patterned third photoresist layer 1053 is exposed in the NMOS region The third spacer material layer 1121.

其中,去除NMOS区内的半导体衬底100表面上的第三间隙壁材料层1121,也即去除NMOS区内的隔离结构102表面上的第三间隙壁材料层1121。The third spacer material layer 1121 on the surface of the semiconductor substrate 100 in the NMOS region is removed, that is, the third spacer material layer 1121 on the surface of the isolation structure 102 in the NMOS region is removed.

蚀刻的方法可以使用本领域技术人员熟知的任何适合的干法蚀刻或者湿法蚀刻等方法,较佳地,使用干法蚀刻的方法。The etching method can use any suitable dry etching or wet etching method known to those skilled in the art, preferably, a dry etching method is used.

在一个示例中,在第二应力外延层111上形成有氧化物时,还可将该氧化物去除,以露出第二应力外延层111的顶面。In one example, when an oxide is formed on the second stressed epitaxial layer 111 , the oxide can also be removed to expose the top surface of the second stressed epitaxial layer 111 .

接着,如图15所示,以所述图案化的第三光刻胶层1053为掩膜,第二回蚀刻去除部分所述第二应力外延层111,以形成第二凹槽113。Next, as shown in FIG. 15 , using the patterned third photoresist layer 1053 as a mask, part of the second stress epitaxial layer 111 is removed by a second etching back to form the second groove 113 .

第二回蚀刻可以使用本领域技术人员熟知的任何适合的干法蚀刻或者湿法蚀刻或它们的组合等方法。较佳地,使用各向异性的干法蚀刻方法,干法蚀刻工艺包括但不限于:反应离子蚀刻(RIE)、离子束蚀刻、等离子体蚀刻或者激光切割。最好通过一个或者多个RIE步骤进行干法蚀刻。The second etch back may use any suitable dry etching or wet etching or a combination thereof known to those skilled in the art. Preferably, an anisotropic dry etching method is used, and the dry etching process includes but is not limited to: reactive ion etching (RIE), ion beam etching, plasma etching or laser cutting. Dry etching is preferably performed by one or more RIE steps.

示例性地,湿法蚀刻可以使用对第二应力外延层111具有高的蚀刻速率,对间隙壁和隔离结构具有低的蚀刻速率的蚀刻方法。Exemplarily, the wet etching may use an etching method having a high etching rate for the second stressed epitaxial layer 111 and a low etching rate for the spacers and isolation structures.

示例性地,所述第二回蚀刻的深度范围为10~20nm,也即从第二应力外延层的顶面开始向下回蚀刻的深度范围为10~20nm,该深度范围仅作为示例。Exemplarily, the depth range of the second etch back is 10-20 nm, that is, the depth range of the etch back from the top surface of the second stressed epitaxial layer is 10-20 nm, and the depth range is only used as an example.

之后,去除所述图案化的图案化的第三光刻胶层1053。可以使用灰化的方法去除图案化的第三光刻胶层1053。After that, the patterned patterned third photoresist layer 1053 is removed. The patterned third photoresist layer 1053 may be removed using an ashing method.

接着,如图16所示,减薄所述第二间隙壁112的厚度,以扩大所述第二凹槽113的宽度至第二宽度L2。Next, as shown in FIG. 16 , the thickness of the second spacer 112 is reduced to expand the width of the second groove 113 to a second width L2 .

示例性地,使用湿法蚀刻的方法实现对所述第二间隙壁112的减薄。Exemplarily, the thinning of the second spacer 112 is achieved by using a wet etching method.

在一个示例中,在所述第二间隙壁112的材料为氮化硅时,所述湿法蚀刻可以使用包括磷酸的蚀刻剂,来实现对所述第二间隙壁112的减薄,该磷酸还可以为热的磷酸溶液,其对氮化硅具有高的蚀刻速率,而对氧化物等具有低的蚀刻速率。In one example, when the material of the second spacer 112 is silicon nitride, the wet etching may use an etchant including phosphoric acid to achieve thinning of the second spacer 112 , the phosphoric acid It can also be a hot phosphoric acid solution, which has a high etch rate for silicon nitride and a low etch rate for oxides and the like.

其中,本实施例中,减薄所述第二间隙壁112之后,剩余的所述第二间隙壁112的厚度范围为2~6nm,但并不局限于此。Wherein, in this embodiment, after the second spacer 112 is thinned, the thickness of the remaining second spacer 112 ranges from 2 to 6 nm, but is not limited thereto.

值得一提的是,在该湿法蚀刻减薄所述第二间隙壁1128的过程中,同时还会对PMOS区内的第三间隙壁材料层1121进行了蚀刻减薄。It is worth mentioning that, in the process of thinning the second spacer 1128 by the wet etching, the third spacer material layer 1121 in the PMOS region is also etched and thinned at the same time.

接着,如图17所示,在所述第二应力外延层111的表面上生长第三应力外延层114,以填充满所述第二凹槽并溢出到剩余的所述第二间隙壁112的顶面上,其中,所述第二凹槽内的所述第三应力外延层112的宽度为所述第二宽度L2,位于所述第二间隙壁112顶面以上的所述第三应力外延层114具有第三宽度L3,其中,所述第一宽度L1小于所述第二宽度L2,所述第二宽度L2小于所述第三宽度L3。Next, as shown in FIG. 17 , a third stress epitaxial layer 114 is grown on the surface of the second stress epitaxial layer 111 to fill the second groove and overflow to the remaining second spacers 112 On the top surface, wherein the width of the third stress epitaxial layer 112 in the second groove is the second width L2, and the third stress epitaxial layer located above the top surface of the second spacer 112 Layer 114 has a third width L3, wherein the first width L1 is less than the second width L2, and the second width L2 is less than the third width L3.

值得一提的是,该第一宽度、第二宽度和第三宽度是指以与半导体衬底表面垂直且与所述鳍片结构的延伸方向垂直的面去截所述第二应力外延层和第三应力外延层所获得的相应截面的宽度。It is worth mentioning that the first width, the second width and the third width refer to cutting the second stress epitaxial layer and the surface perpendicular to the surface of the semiconductor substrate and perpendicular to the extending direction of the fin structure. The width of the corresponding section obtained by the third stressed epitaxial layer.

可以使用选择性外延生长的方法在露出的第二应力外延层111的表面上生长第三应力外延层114,选择性外延生长可以采用低压化学气相沉积(LPCVD)、等离子体增强化学气相沉积(PECVD)、超高真空化学气相沉积(UHVCVD)、快速热化学气相沉积(RTCVD)和分子束外延(MBE)中的一种。The third stressed epitaxial layer 114 can be grown on the exposed surface of the second stressed epitaxial layer 111 by a method of selective epitaxial growth, and the selective epitaxial growth can adopt low pressure chemical vapor deposition (LPCVD), plasma enhanced chemical vapor deposition (PECVD) ), Ultra High Vacuum Chemical Vapor Deposition (UHVCVD), Rapid Thermal Chemical Vapor Deposition (RTCVD) and Molecular Beam Epitaxy (MBE).

在NMOS中,第三应力外延层114通常具有拉应力。第三应力外延层114的材料可以为SiP、SiC或其他可提供拉应力的适合的材料。本实施例中,较佳地选择SiP作为第三应力外延层114。具体地,可采用化学气相沉积方法或者气体源分子束外延方法生长SiP,用硅烷或者乙硅烷作为硅源,磷烷作为磷源。In NMOS, the third stressed epitaxial layer 114 typically has tensile stress. The material of the third stress epitaxial layer 114 can be SiP, SiC or other suitable materials that can provide tensile stress. In this embodiment, SiP is preferably selected as the third stress epitaxial layer 114 . Specifically, the SiP can be grown by chemical vapor deposition method or gas source molecular beam epitaxy method, using silane or disilane as the silicon source, and phosphine as the phosphorus source.

其中,在外延生长第三应力外延层114时,该第二间隙壁112对于第三应力外延层114的生长具有引导作用,控制其在第二间隙壁112之间的第二凹槽内向上生长。Wherein, when the third stressed epitaxial layer 114 is epitaxially grown, the second spacer 112 has a guiding effect on the growth of the third stressed epitaxial layer 114, and controls it to grow upward in the second groove between the second spacers 112 .

通过上述方法,在NMOS区内的源/漏区内形成了SiP应力外延层,该应力外延层包括位于底部的第一宽度的第二应力外延层,位于第二应力外延层上的第三应力外延层,其中,第三应力外延层自下而上包括第二宽度的第三应力外延层和位于第二间隙壁顶面以上的第三宽度的第三应力外延层,其中第一宽度小于第二宽度,第二宽度小于第三宽度,因此扩大了应力外延层的顶部,使接触面积更大,从而应力外延层具有较低的外电阻,再者,由于并未增大底部应力外延层的体积,使短沟道效应也得到了很好的控制。Through the above method, a SiP stress epitaxial layer is formed in the source/drain regions of the NMOS region, the stress epitaxial layer includes a second stress epitaxial layer with a first width at the bottom, and a third stress epitaxial layer on the second stress epitaxial layer. The epitaxial layer, wherein the third stressed epitaxial layer includes from bottom to top a third stressed epitaxial layer of a second width and a third stressed epitaxial layer of a third width located above the top surface of the second spacer, wherein the first width is smaller than the third stress epitaxial layer. The second width is smaller than the third width, so the top of the stress epitaxial layer is enlarged and the contact area is larger, so that the stress epitaxial layer has lower external resistance. volume, so that the short channel effect is also well controlled.

接着,如图18所示,在所述半导体衬底100、所述第一应力外延层106、所述第三应力外延层114以及所述第二间隙壁112的表面上形成接触孔蚀刻停止层115;在所述接触孔蚀刻停止层115上沉积层间介电层116,并平坦化所述层间介电层116。Next, as shown in FIG. 18 , a contact hole etch stop layer is formed on the surfaces of the semiconductor substrate 100 , the first stressed epitaxial layer 106 , the third stressed epitaxial layer 114 and the second spacer 112 . 115 ; depositing an interlayer dielectric layer 116 on the contact hole etch stop layer 115 , and planarizing the interlayer dielectric layer 116 .

在所述衬底上形成接触孔蚀刻停止层(CESL)115,所述接触孔蚀刻停止层可包括一介电材料,如含硅材料、含氮材料、含碳材料、或相似物。A contact hole etch stop layer (CESL) 115 is formed on the substrate. The contact hole etch stop layer may include a dielectric material such as a silicon-containing material, a nitrogen-containing material, a carbon-containing material, or the like.

接触孔蚀刻停止层115可包括数种蚀刻停止材料中的任意两种。非限制性示例包括导体蚀刻停止材料、半导体蚀刻停止材料和介电蚀刻停止材料。由于下面的额外描述中将变得更显而易见的原因,蚀刻停止层包括易受局部改变影响的蚀刻停止材料,其为蚀刻停止层提供区域特定的蚀刻选择性。在本发明中所述接触孔蚀刻停止层115为包含两层,包含在内的一层氧化物层以及在所述氧化物层外面的氮化物层,其中所述氧化物可以选用SiO2,所述氮化物可以选用SiCN、SiN、SiC、SiOF、SiON中的一种,但是所述接触孔蚀刻停止层并不局限于上述示例。Contact hole etch stop layer 115 may include any two of several etch stop materials. Non-limiting examples include conductor etch stop materials, semiconductor etch stop materials, and dielectric etch stop materials. For reasons that will become more apparent in the additional description below, the etch stop layer includes an etch stop material that is susceptible to local changes, which provides region-specific etch selectivity to the etch stop layer. In the present invention, the contact hole etch stop layer 115 is composed of two layers, including an oxide layer and a nitride layer outside the oxide layer, wherein the oxide can be selected from SiO 2 , so The nitride can be selected from one of SiCN, SiN, SiC, SiOF, and SiON, but the contact hole etching stop layer is not limited to the above examples.

然后沉积层间介电层116并平坦化。所述平坦化处理的非限制性实例包括机械平坦化方法和化学机械研磨(CMP)平坦化方法。An interlayer dielectric layer 116 is then deposited and planarized. Non-limiting examples of the planarization process include mechanical planarization methods and chemical mechanical polishing (CMP) planarization methods.

层间介电层116可为氧化硅层,包括利用热化学气相沉积(thermal CVD)制造工艺或高密度等离子体(HDP)制造工艺形成的有掺杂或未掺杂的氧化硅的材料层,例如未经掺杂的硅玻璃(USG)、磷硅玻璃(PSG)或硼磷硅玻璃(BPSG)。此外,层间介电层也可以是掺杂硼或掺杂磷的自旋涂布式玻璃(spin-on-glass,SOG)、掺杂磷的四乙氧基硅烷(PTEOS)或掺杂硼的四乙氧基硅烷(BTEOS)。The interlayer dielectric layer 116 can be a silicon oxide layer, including a material layer of doped or undoped silicon oxide formed by a thermal chemical vapor deposition (thermal CVD) manufacturing process or a high density plasma (HDP) manufacturing process, For example undoped silica glass (USG), phosphosilicate glass (PSG) or borophosphosilicate glass (BPSG). In addition, the interlayer dielectric layer can also be boron-doped or phosphorous-doped spin-on-glass (SOG), phosphorous-doped tetraethoxysilane (PTEOS) or boron-doped of tetraethoxysilane (BTEOS).

至此完成了对本发明的半导体器件的制造方法的主要步骤的介绍,对于完整的器件的制作还需其他的前续步骤、中间步骤或后续步骤,在此不再一一赘述。So far, the introduction of the main steps of the semiconductor device manufacturing method of the present invention has been completed, and other preceding steps, intermediate steps or subsequent steps are required for the fabrication of a complete device, which will not be repeated here.

根据本发明的制造方法,在NMOS区源/漏区生长应力外延层时使用间隙壁作为引导,因此不会形成合并外延层(merged epitaxy),另外,由于扩大了应力外延层的顶部,使接触面积更大,因此应力外延层具有较低的外电阻,再者,由于基本上未增大底部应力外延层的体积,使短沟道效应也得到了很好的控制,因此,根据本发明的制造方法,合理的平衡了源/漏极应力外延层的体积和轮廓,提高了器件的性能和良率。According to the manufacturing method of the present invention, a spacer is used as a guide when growing the stressed epitaxial layer in the source/drain regions of the NMOS region, so that a merged epitaxial layer is not formed. In addition, since the top of the stressed epitaxial layer is enlarged, the contact The area of the stress epitaxial layer is larger, so the stress epitaxial layer has lower external resistance. Furthermore, since the volume of the stress epitaxial layer at the bottom is not substantially increased, the short channel effect is also well controlled. Therefore, according to the present invention The manufacturing method reasonably balances the volume and contour of the source/drain stress epitaxial layer, and improves the performance and yield of the device.

实施例二Embodiment 2

本发明还提供一种使用前述实施例一中方法制备获得的半导体器件。The present invention also provides a semiconductor device prepared by using the method in the first embodiment.

具体地,如图17和图18所示,本发明的半导体器件包括半导体衬底100,所述半导体衬底100包括PMOS区和NMOS区,在所述PMOS区和NMOS区内的半导体衬底100上分别形成有第一鳍片结构1011和第二鳍片结构1012。Specifically, as shown in FIG. 17 and FIG. 18 , the semiconductor device of the present invention includes a semiconductor substrate 100, the semiconductor substrate 100 includes a PMOS region and an NMOS region, and the semiconductor substrate 100 in the PMOS region and the NMOS region A first fin structure 1011 and a second fin structure 1012 are respectively formed thereon.

具体地,半导体衬底100其可以是以下所提到的材料中的至少一种:Si、Ge、SiGe、SiC、SiGeC、InAs、GaAs、InP或者其它III/V化合物半导体,还包括这些半导体构成的多层结构等,或者为绝缘体上硅(SOI)、绝缘体上层叠硅(SSOI)、绝缘体上层叠锗化硅(S-SiGeOI)、绝缘体上锗化硅(SiGeOI)以及绝缘体上锗(GeOI)等。本实施例中,半导体衬底100较佳地为硅衬底。Specifically, the semiconductor substrate 100 may be at least one of the following mentioned materials: Si, Ge, SiGe, SiC, SiGeC, InAs, GaAs, InP or other III/V compound semiconductors, and also includes these semiconductor components multi-layer structures, etc., or silicon-on-insulator (SOI), silicon-on-insulator (SSOI), silicon-germanium-on-insulator (S-SiGeOI), silicon-germanium-on-insulator (SiGeOI), and germanium-on-insulator (GeOI) Wait. In this embodiment, the semiconductor substrate 100 is preferably a silicon substrate.

在所述PMOS区内的半导体衬底100上形成有第一鳍片结构1011,在每个所述NMOS区内的半导体衬底100上形成有第二鳍片结构1012。A first fin structure 1011 is formed on the semiconductor substrate 100 in the PMOS region, and a second fin structure 1012 is formed on the semiconductor substrate 100 in each of the NMOS regions.

在一个示例中,形成所述第一鳍片结构1011和所述第二鳍片结构1012的方法包括以下步骤:In one example, the method of forming the first fin structure 1011 and the second fin structure 1012 includes the following steps:

在所述半导体衬底100的表面形成图案化的掩膜层,所述图案化的掩膜层定义有所述第一鳍片结构1011和所述第二鳍片结构1012的图案,包括鳍片的宽度、长度以及位置等;以所述图案化的掩膜层为掩膜,蚀刻所述半导体衬底100,以形成所述第一鳍片结构1011和第二鳍片结构1012。掩模层通常可以包括数种掩模材料的任何一种,包括但不限于:硬掩模材料和光刻胶掩模材料。可采用干法蚀刻或者湿法蚀刻等方法进行上述蚀刻,其中,干蚀刻工艺可以为反应离子蚀刻、离子束蚀刻、等离子蚀刻、激光烧蚀或者这些方法的任意组合。也可以使用单一的蚀刻方法,或者也可以使用多于一个的蚀刻方法。A patterned mask layer is formed on the surface of the semiconductor substrate 100, and the patterned mask layer defines patterns of the first fin structure 1011 and the second fin structure 1012, including fins The width, length and position, etc. of the patterned mask layer are used as a mask, and the semiconductor substrate 100 is etched to form the first fin structure 1011 and the second fin structure 1012 . The mask layer can generally include any of several mask materials including, but not limited to, hard mask materials and photoresist mask materials. The above-mentioned etching may be performed by methods such as dry etching or wet etching, wherein the dry etching process may be reactive ion etching, ion beam etching, plasma etching, laser ablation or any combination of these methods. A single etching method may also be used, or more than one etching method may be used.

需要注意的是,形成所述第一鳍片结构1011和所述第二鳍片结构1012的方法仅仅是示例性的,并不局限于上述方法。It should be noted that, the methods of forming the first fin structure 1011 and the second fin structure 1012 are only exemplary, and are not limited to the above methods.

鳍片结构的宽度全部相同,或者鳍片分为具有不同宽度的多个鳍片结构组,鳍片结构的长度也可不相同。The widths of the fin structures are all the same, or the fins are divided into a plurality of fin structure groups with different widths, and the lengths of the fin structures may also be different.

在半导体衬底100上还形成有隔离结构102,隔离结构102可以为浅沟槽隔离(STI)结构或者局部氧化硅(LOCOS)隔离结构,在本实施例中,隔离结构102较佳地为浅沟槽隔离结构。该隔离结构102的顶面低于第一鳍片结构1011和所述第二鳍片结构1012的顶面。半导体衬底100中还形成有各种阱(well)结构,例如,在PMOS区内形成有N型阱,在NMOS区内形成有P型阱,为了简化,图示中予以省略。An isolation structure 102 is also formed on the semiconductor substrate 100. The isolation structure 102 may be a shallow trench isolation (STI) structure or a localized silicon oxide (LOCOS) isolation structure. In this embodiment, the isolation structure 102 is preferably shallow trench isolation structure. The top surface of the isolation structure 102 is lower than the top surfaces of the first fin structure 1011 and the second fin structure 1012 . Various well structures are also formed in the semiconductor substrate 100 , for example, an N-type well is formed in the PMOS region, and a P-type well is formed in the NMOS region, which are omitted from the illustration for simplicity.

进一步,在所述PMOS区和NMOS区分别形成有横跨部分所述第一鳍片结构1011和部分第二鳍片结构1012的第一栅极结构和第二栅极结构。Further, a first gate structure and a second gate structure spanning a part of the first fin structure 1011 and a part of the second fin structure 1012 are respectively formed in the PMOS region and the NMOS region.

第一栅极结构和第二栅极结构均包括自下而上的栅极介电层1031和栅极层1032。Both the first gate structure and the second gate structure include a bottom-up gate dielectric layer 1031 and a gate layer 1032 .

栅极介电层1031栅极介电层可以通过热氧化、氮化或氧氮化工艺形成。在形成栅极介电层时,也可以组合使用上述工艺。栅极介电层可以包括如下的任何传统电介质:SiO2、Si3N4、SiON、SiON2、诸如TiO2、Al2O3、ZrO2、HfO2、Ta2O5、La2O3的高k电介质以及包括钙钛矿型氧化物的其它类似氧化物,但不限于此。通常,高k电介质能经受高温(900℃)退火。栅极介电层也可以包括上述电介质材料的任何组合。Gate Dielectric Layer 1031 The gate dielectric layer may be formed by thermal oxidation, nitridation or oxynitridation. The above processes may also be used in combination when forming the gate dielectric layer. The gate dielectric layer may comprise any conventional dielectric such as : SiO2 , Si3N4 , SiON , SiON2 , such as TiO2 , Al2O3 , ZrO2 , HfO2 , Ta2O5 , La2O3 and other similar oxides including, but not limited to, perovskite oxides. Typically, high-k dielectrics can withstand high temperature (900°C) annealing. The gate dielectric layer may also include any combination of the above-described dielectric materials.

栅极层1032形成于栅极介电层1031上。在一实施例中,栅极层由多晶硅材料组成,一般也可使用金属、金属氮化物、金属硅化物或类似化合物作为栅极层的材料。The gate layer 1032 is formed on the gate dielectric layer 1031 . In one embodiment, the gate layer is composed of polysilicon material, and generally, metal, metal nitride, metal silicide or similar compounds can be used as the material of the gate layer.

在一个示例中,在第一栅极结构两侧的第一鳍片结构1011的源/漏区内形成有第一应力外延层106,在所述第一应力外延层106的表面上还形成有第一氧化物层107,在所述第一氧化物层107的表面上、所述第一鳍片结构1011的侧壁上以及所述PMOS区内的半导体衬底100表面上形成有间隙壁材料层1121。In one example, a first stressed epitaxial layer 106 is formed in the source/drain regions of the first fin structure 1011 on both sides of the first gate structure, and a surface of the first stressed epitaxial layer 106 is further formed with The first oxide layer 107, a spacer material is formed on the surface of the first oxide layer 107, the sidewall of the first fin structure 1011 and the surface of the semiconductor substrate 100 in the PMOS region Layer 1121.

第一应力外延层106的材料可以包括SiGe或其他可提供压应力的适合的材料。The material of the first stressed epitaxial layer 106 may include SiGe or other suitable materials that can provide compressive stress.

在PMOS内形成具有压应力的应力层,CMOS器件的性能可以通过将压应力作用于PMOS来提高。A stress layer with compressive stress is formed in the PMOS, and the performance of the CMOS device can be improved by applying the compressive stress to the PMOS.

其中,较佳地,第一应力外延层106的截面形状较佳地为“∑”形。Wherein, preferably, the cross-sectional shape of the first stress epitaxial layer 106 is preferably a "Σ" shape.

示例性地,第一氧化物层107为使用氧化处理的方法形成的氧化硅。Exemplarily, the first oxide layer 107 is silicon oxide formed using an oxidation process.

间隙壁材料层1121可以为氧化硅、氮化硅、氮氧化硅中一种或者它们组合构成。作为本实施例的一种实施方式,间隙壁材料层1121为氮化硅。The spacer material layer 1121 may be one of silicon oxide, silicon nitride, silicon oxynitride, or a combination thereof. As an implementation of this embodiment, the spacer material layer 1121 is silicon nitride.

进一步地,本发明的半导体器件还包括在所述第二栅极结构两侧的所述第二鳍片结构1012的源/漏区内自下而上形成有第一宽度L1的第二应力外延层111、第二宽度L2的第三应力外延层114和第三宽度L3的所述第三应力外延层114,其中,所述第一宽度L1小于所述第二宽度L2,所述第二宽度L2小于所述第三宽度L3,在第二鳍片结构1012、所述第二应力外延层111、所述第二宽度的第三应力外延层114的侧壁上形成有间隙壁112。Further, the semiconductor device of the present invention further includes a second stress epitaxy having a first width L1 formed from bottom to top in the source/drain regions of the second fin structure 1012 on both sides of the second gate structure layer 111, a third stressed epitaxial layer 114 of a second width L2, and the third stressed epitaxial layer 114 of a third width L3, wherein the first width L1 is smaller than the second width L2, the second width L2 is smaller than the third width L3 , and spacers 112 are formed on the sidewalls of the second fin structure 1012 , the second stressed epitaxial layer 111 , and the third stressed epitaxial layer 114 of the second width.

间隙壁112可以为氧化硅、氮化硅、氮氧化硅中一种或者它们组合构成。作为本实施例的一种实施方式,间隙壁112为氮化硅。The spacer 112 may be one of silicon oxide, silicon nitride, silicon oxynitride, or a combination thereof. As an implementation of this embodiment, the spacer 112 is silicon nitride.

在NMOS中,第二应力外延层111和第三应力外延层114通常具有拉应力。第二应力外延层111和第三应力外延层114的材料可以为SiP、SiC或其他可提供拉应力的适合的材料。本实施例中,较佳地选择SiP作为第二应力外延层111和第三应力外延层114。具体地,可采用化学气相沉积方法或者气体源分子束外延方法生长SiP,用硅烷或者乙硅烷作为硅源,磷烷作为磷源。In NMOS, the second stressed epitaxial layer 111 and the third stressed epitaxial layer 114 generally have tensile stress. The materials of the second stressed epitaxial layer 111 and the third stressed epitaxial layer 114 may be SiP, SiC or other suitable materials that can provide tensile stress. In this embodiment, SiP is preferably selected as the second stressed epitaxial layer 111 and the third stressed epitaxial layer 114 . Specifically, the SiP can be grown by chemical vapor deposition method or gas source molecular beam epitaxy method, using silane or disilane as the silicon source, and phosphine as the phosphorus source.

示例性地,第二应力外延层111和第三应力外延层114还可以为不同的具有拉应力的材料。Exemplarily, the second stressed epitaxial layer 111 and the third stressed epitaxial layer 114 may also be different materials with tensile stress.

本发明的半导体器件,其在NMOS区内的源/漏区内形成了SiP应力外延层,该应力外延层包括位于底部的第一宽度的第二应力外延层,位于第二应力外延层上的第三应力外延层,其中,第三应力外延层自下而上包括第二宽度的第三应力外延层和位于第二间隙壁顶面以上的第三宽度的第三应力外延层,其中第一宽度小于第二宽度,第二宽度小于第三宽度,因此扩大了应力外延层的顶部,使接触面积更大,因此应力外延层具有较低的外电阻,再者,由于并未增大底部应力外延层的体积,使短沟道效应也得到了很好的控制。In the semiconductor device of the present invention, a SiP stress epitaxial layer is formed in the source/drain regions of the NMOS region, the stress epitaxial layer includes a second stress epitaxial layer with a first width at the bottom, and a stress epitaxial layer on the second stress epitaxial layer. A third stressed epitaxial layer, wherein the third stressed epitaxial layer includes from bottom to top a third stressed epitaxial layer of a second width and a third stressed epitaxial layer of a third width located above the top surface of the second spacer, wherein the first stressed epitaxial layer The width is smaller than the second width, and the second width is smaller than the third width, so the top of the stress epitaxial layer is enlarged and the contact area is larger, so the stress epitaxial layer has lower external resistance, and furthermore, the bottom stress is not increased. The volume of the epitaxial layer enables the short channel effect to be well controlled.

进一步地,在所述半导体衬底100、所述第一应力外延层106、所述第三应力外延层114以及所述间隙壁112的表面上形成有接触孔蚀刻停止层115;在所述接触孔蚀刻停止层115上沉积有层间介电层116。Further, a contact hole etch stop layer 115 is formed on the surfaces of the semiconductor substrate 100 , the first stressed epitaxial layer 106 , the third stressed epitaxial layer 114 and the spacer 112 ; An interlayer dielectric layer 116 is deposited on the hole etch stop layer 115 .

在所述衬底上形成接触孔蚀刻停止层(CESL)115,所述接触孔蚀刻停止层可包括一介电材料,如含硅材料、含氮材料、含碳材料、或相似物。A contact hole etch stop layer (CESL) 115 is formed on the substrate. The contact hole etch stop layer may include a dielectric material such as a silicon-containing material, a nitrogen-containing material, a carbon-containing material, or the like.

接触孔蚀刻停止层115可包括数种蚀刻停止材料中的任意两种。非限制性示例包括导体蚀刻停止材料、半导体蚀刻停止材料和介电蚀刻停止材料。由于下面的额外描述中将变得更显而易见的原因,蚀刻停止层包括易受局部改变影响的蚀刻停止材料,其为蚀刻停止层提供区域特定的蚀刻选择性。在本发明中所述接触孔蚀刻停止层115为包含两层,包含在内的一层氧化物层以及在所述氧化物层外面的氮化物层,其中所述氧化物可以选用SiO2,所述氮化物可以选用SiCN、SiN、SiC、SiOF、SiON中的一种,但是所述接触孔蚀刻停止层并不局限于上述示例。Contact hole etch stop layer 115 may include any two of several etch stop materials. Non-limiting examples include conductor etch stop materials, semiconductor etch stop materials, and dielectric etch stop materials. For reasons that will become more apparent in the additional description below, the etch stop layer includes an etch stop material that is susceptible to local changes, which provides region-specific etch selectivity to the etch stop layer. In the present invention, the contact hole etch stop layer 115 is composed of two layers, including an oxide layer and a nitride layer outside the oxide layer, wherein the oxide can be selected from SiO 2 , so The nitride can be selected from one of SiCN, SiN, SiC, SiOF, and SiON, but the contact hole etching stop layer is not limited to the above examples.

层间介电层116可为氧化硅层,包括利用热化学气相沉积(thermal CVD)制造工艺或高密度等离子体(HDP)制造工艺形成的有掺杂或未掺杂的氧化硅的材料层,例如未经掺杂的硅玻璃(USG)、磷硅玻璃(PSG)或硼磷硅玻璃(BPSG)。此外,层间介电层也可以是掺杂硼或掺杂磷的自旋涂布式玻璃(spin-on-glass,SOG)、掺杂磷的四乙氧基硅烷(PTEOS)或掺杂硼的四乙氧基硅烷(BTEOS)。The interlayer dielectric layer 116 may be a silicon oxide layer, including a material layer of doped or undoped silicon oxide formed by a thermal chemical vapor deposition (thermal CVD) manufacturing process or a high density plasma (HDP) manufacturing process, For example undoped silica glass (USG), phosphosilicate glass (PSG) or borophosphosilicate glass (BPSG). In addition, the interlayer dielectric layer can also be boron-doped or phosphorous-doped spin-on-glass (SOG), phosphorous-doped tetraethoxysilane (PTEOS), or boron-doped of tetraethoxysilane (BTEOS).

对于完整的器件其还包括其他的结构组成部分,在此不做一一赘述。For a complete device, it also includes other structural components, which will not be repeated here.

由于本发明的半导体器件采用前述的方法制备获得,因此具有相同的优点。Since the semiconductor device of the present invention is prepared by the aforementioned method, it has the same advantages.

本发明的半导体器件,在NMOS区源/漏区生长应力外延层时使用间隙壁作为引导,因此不会形成合并外延层(merged epitaxy),另外,由于本发明的半导体器件包括扩大了的应力外延层的顶部,使接触面积更大,因此应力外延层具有较低的外电阻,再者,由于基本上未增大底部应力外延层的体积,使短沟道效应也得到了很好的控制,合理的平衡了源/漏极应力外延层的体积和轮廓,因此,根据本发明半导体器件的性能更高。The semiconductor device of the present invention uses a spacer as a guide when growing the stress epitaxy layer in the source/drain regions of the NMOS region, so no merged epitaxy layer is formed. In addition, since the semiconductor device of the present invention includes an enlarged stress epitaxy The top of the layer makes the contact area larger, so the stress epitaxial layer has a lower external resistance. Furthermore, since the volume of the bottom stress epitaxial layer is not substantially increased, the short channel effect is also well controlled. The volume and profile of the source/drain stress epitaxial layer are reasonably balanced, and therefore, the performance of the semiconductor device according to the present invention is higher.

实施例三Embodiment 3

本发明还提供了一种电子装置,包括实施例二中所述的半导体器件,所述半导体器件根据实施例一中所述方法制备得到。The present invention also provides an electronic device, including the semiconductor device described in the second embodiment, and the semiconductor device is prepared according to the method described in the first embodiment.

本实施例的电子装置,可以是手机、平板电脑、笔记本电脑、上网本、游戏机、电视机、VCD、DVD、导航仪、数码相框、照相机、摄像机、录音笔、MP3、MP4、PSP等任何电子产品或设备,也可为任何包括电路的中间产品。本发明实施例的电子装置,由于使用了上述的半导体器件,因而具有更好的性能。The electronic device in this embodiment can be any electronic device such as a mobile phone, a tablet computer, a notebook computer, a netbook, a game console, a TV, a VCD, a DVD, a navigator, a digital photo frame, a camera, a video camera, a voice recorder, MP3, MP4, PSP, etc. A product or device can also be any intermediate product that includes a circuit. The electronic device of the embodiment of the present invention has better performance because the above-mentioned semiconductor device is used.

其中,图20示出移动电话手机的示例。移动电话手机300被设置有包括在外壳301中的显示部分302、操作按钮303、外部连接端口304、扬声器305、话筒306等。Among them, FIG. 20 shows an example of a mobile phone handset. The mobile phone handset 300 is provided with a display portion 302 included in a casing 301, operation buttons 303, an external connection port 304, a speaker 305, a microphone 306, and the like.

其中所述移动电话手机包括实施例二所述的半导体器件,所述半导体器件主要包括:The mobile phone includes the semiconductor device described in Embodiment 2, and the semiconductor device mainly includes:

半导体衬底,所述半导体衬底包括PMOS区和NMOS区,在所述PMOS区和NMOS区内的半导体衬底上分别形成有第一鳍片结构和第二鳍片结构;a semiconductor substrate, the semiconductor substrate includes a PMOS region and an NMOS region, and a first fin structure and a second fin structure are respectively formed on the semiconductor substrate in the PMOS region and the NMOS region;

在所述PMOS区和NMOS区分别形成有横跨部分所述第一鳍片结构和部分第二鳍片结构的第一栅极结构和第二栅极结构;A first gate structure and a second gate structure spanning a part of the first fin structure and a part of the second fin structure are respectively formed in the PMOS region and the NMOS region;

在第一栅极结构两侧的第一鳍片结构的源/漏区内形成有第一应力外延层;A first stress epitaxial layer is formed in the source/drain regions of the first fin structure on both sides of the first gate structure;

在所述第二栅极结构两侧的所述第二鳍片结构的源漏区内自下而上形成有第一宽度的第二应力外延层、第二宽度的第三应力外延层和第三宽度的所述第三应力外延层,其中,所述第一宽度小于所述第二宽度,所述第二宽度小于所述第三宽度;A second stress epitaxial layer with a first width, a third stress epitaxial layer with a second width and a The third stress epitaxial layer with three widths, wherein the first width is smaller than the second width, and the second width is smaller than the third width;

在第二鳍片结构、所述第二应力外延层、所述第二宽度的第三应力外延层的侧壁上形成有间隙壁。Spacers are formed on the sidewalls of the second fin structure, the second stressed epitaxial layer, and the third stressed epitaxial layer of the second width.

本发明的电子装置包括前述的半导体器件,因此也具有相同的优点。The electronic apparatus of the present invention includes the aforementioned semiconductor device and thus also has the same advantages.

本发明已经通过上述实施例进行了说明,但应当理解的是,上述实施例只是用于举例和说明的目的,而非意在将本发明限制于所描述的实施例范围内。此外本领域技术人员可以理解的是,本发明并不局限于上述实施例,根据本发明的教导还可以做出更多种的变型和修改,这些变型和修改均落在本发明所要求保护的范围以内。本发明的保护范围由附属的权利要求书及其等效范围所界定。The present invention has been described by the above-mentioned embodiments, but it should be understood that the above-mentioned embodiments are only for the purpose of illustration and description, and are not intended to limit the present invention to the scope of the described embodiments. In addition, those skilled in the art can understand that the present invention is not limited to the above-mentioned embodiments, and more variations and modifications can also be made according to the teachings of the present invention, and these variations and modifications all fall within the protection claimed in the present invention. within the range. The protection scope of the present invention is defined by the appended claims and their equivalents.

Claims (23)

1. A method of manufacturing a semiconductor device, the method comprising:
providing a semiconductor substrate, wherein the semiconductor substrate comprises a PMOS area and an NMOS area, and a first fin structure and a second fin structure are respectively formed on the semiconductor substrate in the PMOS area and the NMOS area;
forming a first dummy gate structure and a second dummy gate structure respectively crossing a portion of the first fin structure and a portion of the second fin structure in the PMOS region and the NMOS region;
growing a first stress epitaxial layer in the source/drain regions of the first fin structures on two sides of the first dummy gate structure;
forming first gap walls on the side walls of the second fin structures at two sides of the second dummy gate structure;
performing first back etching on the exposed source/drain regions of the second fin structures to remove part of the second fin structures to form first grooves;
thinning the thickness of the first gap wall to enlarge the width of the first groove to a first width;
growing a second stress epitaxial layer on the second fin structure exposed in the first groove to fill the first groove, wherein the width of the second stress epitaxial layer is the first width;
forming second spacer walls on the second fin structures and the side walls of the second stress epitaxial layer;
a second etching back step is carried out to remove part of the second stress epitaxial layer so as to form a second groove;
thinning the thickness of the second gap wall to enlarge the width of the second groove to a second width;
and growing a third stress epitaxial layer on the surface of the second stress epitaxial layer to fill the second groove and overflow to the top surface of the rest second gap wall, wherein the width of the third stress epitaxial layer in the second groove is the second width, and the third stress epitaxial layer above the top surface of the second gap wall has a third width, wherein the first width is smaller than the second width, and the second width is smaller than the third width.
2. The method of manufacturing a semiconductor device according to claim 1, further comprising, after forming the first dummy gate structure and the second dummy gate structure and before forming the first stress epitaxial layer, the steps of:
depositing a first spacer material layer to cover the PMOS region and the NMOS region;
forming a patterned first photoresist layer to cover the NMOS region and expose the PMOS region;
etching and removing a part of the first spacer material layer on the top surface of the first fin structure and on the surface of the semiconductor substrate by taking the patterned first photoresist layer as a mask;
and etching back to remove a part of the first fin structure in the source/drain regions at two sides of the first dummy gate structure and a part of the first spacer material layer on the first fin structure.
3. The method of manufacturing of claim 1 or 2, wherein after forming the first stressed epitaxial layer and before forming the first spacer, further comprising the steps of: and carrying out oxidation treatment to form a first oxide layer on the exposed surface of the first stress epitaxial layer.
4. The method of manufacturing according to claim 2, wherein the method of forming the first spacer comprises the steps of:
depositing a second spacer material layer to cover the PMOS region and the NMOS region;
forming a patterned second photoresist layer to cover the PMOS region and expose the NMOS region;
and etching and removing the first gap wall material layer and the second gap wall material layer on the top surface of the second fin structure and the surface of the semiconductor substrate in the NMOS area so as to form the first gap wall on the side wall of the second fin structure and expose part of the top surface of the second fin structure.
5. The method of manufacturing of claim 1, wherein after the first etch-back step, before thinning the thickness of the first spacer, further comprising the steps of: and oxidizing the exposed surface of the second fin structure to form a second oxide layer, and after the step of thinning the thickness of the second spacer, pre-cleaning and removing the second oxide layer.
6. The manufacturing method according to claim 1, wherein the process of forming the second spacer includes the steps of:
depositing a third spacer material layer to cover the PMOS region and the NMOS region;
forming a patterned third photoresist layer to cover the PMOS region and expose the NMOS region;
and etching and removing part of the third spacer material layer on the top surface of the second stress epitaxial layer and the semiconductor substrate in the NMOS region so as to form the second spacers on the second fin structures and the side walls of the second stress epitaxial layer.
7. The method of claim 1, wherein the first spacer has a thickness in a range of 60 to 120 angstroms.
8. The method according to claim 1, wherein the depth of the first etch-back is in a range of 20nm to 40 nm.
9. The method according to claim 1, wherein a thickness of the first spacer remaining after the thinning of the first spacer is in a range of 2 to 6 nm.
10. The method according to claim 1, wherein a thickness of the second spacer remaining after the thinning of the second spacer is in a range of 2 to 6 nm.
11. The manufacturing method according to claim 1, wherein a depth of the second etch-back is in a range of 10 to 20 nm.
12. The method of manufacturing of claim 1 wherein the material of the second stressed epitaxial layer and the third stressed epitaxial layer each comprise SiP.
13. The manufacturing method according to claim 1, wherein the thinning of the first spacer and the thinning of the second spacer are achieved using a wet etching method.
14. The manufacturing method according to claim 13, wherein the wet etching uses an etchant including phosphoric acid.
15. The method of manufacturing of claim 1, further comprising:
forming a contact hole etching stop layer on the surfaces of the semiconductor substrate, the first stress epitaxial layer, the third stress epitaxial layer and the second gap wall;
and depositing an interlayer dielectric layer on the contact hole etching stop layer, and flattening the interlayer dielectric layer.
16. The method of manufacturing of claim 1, wherein the material of the first stressed epitaxial layer comprises SiGe.
17. A semiconductor device, comprising:
the semiconductor substrate comprises a PMOS area and an NMOS area, and a first fin structure and a second fin structure are respectively formed on the semiconductor substrate in the PMOS area and the NMOS area;
forming a first gate structure and a second gate structure respectively crossing a part of the first fin structure and a part of the second fin structure in the PMOS region and the NMOS region;
forming a first stress epitaxial layer in the source/drain regions of the first fin structures on two sides of the first gate structure;
a second stress epitaxial layer with a first width, a third stress epitaxial layer with a second width and a third stress epitaxial layer with a third width are formed in the source-drain regions of the second fin structures on two sides of the second gate structure from bottom to top, wherein the first width is smaller than the second width, the second width is smaller than the third width, and the first width is larger than the width of the second fin structures;
and forming gap walls on the side walls of the second fin structure, the second stress epitaxial layer and the third stress epitaxial layer with the second width.
18. The semiconductor device of claim 17, wherein a first oxide layer is also formed on a surface of the first stress epitaxial layer.
19. The semiconductor device of claim 18, wherein a layer of spacer material is formed on a surface of the first oxide layer, on sidewalls of the first fin structures, and on a surface of the semiconductor substrate within the PMOS region.
20. The semiconductor device of claim 17, wherein the material of the second stressed epitaxial layer and the third stressed epitaxial layer each comprises SiP.
21. The semiconductor device according to claim 17, further comprising:
forming a contact hole etching stop layer on the surfaces of the semiconductor substrate, the first stress epitaxial layer, the third stress epitaxial layer and the gap wall;
an interlayer dielectric layer is deposited on the contact hole etching stop layer.
22. The semiconductor device of claim 17, the material of the first stressed epitaxial layer comprising SiGe.
23. An electronic device comprising the semiconductor device according to any one of claims 17 to 22.
CN201610915730.3A 2016-10-20 2016-10-20 Semiconductor device, manufacturing method thereof and electronic device Active CN107968071B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201610915730.3A CN107968071B (en) 2016-10-20 2016-10-20 Semiconductor device, manufacturing method thereof and electronic device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201610915730.3A CN107968071B (en) 2016-10-20 2016-10-20 Semiconductor device, manufacturing method thereof and electronic device

Publications (2)

Publication Number Publication Date
CN107968071A CN107968071A (en) 2018-04-27
CN107968071B true CN107968071B (en) 2020-07-28

Family

ID=61997241

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610915730.3A Active CN107968071B (en) 2016-10-20 2016-10-20 Semiconductor device, manufacturing method thereof and electronic device

Country Status (1)

Country Link
CN (1) CN107968071B (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9450047B1 (en) * 2015-03-31 2016-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having enlarged regrowth regions and manufacturing method of the same
CN107919326A (en) * 2016-10-10 2018-04-17 中芯国际集成电路制造(上海)有限公司 Fin field effect pipe and forming method thereof

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7939889B2 (en) * 2007-10-16 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistance in source and drain regions of FinFETs
US9166024B2 (en) * 2013-09-30 2015-10-20 United Microelectronics Corp. FinFET structure with cavities and semiconductor compound portions extending laterally over sidewall spacers

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9450047B1 (en) * 2015-03-31 2016-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having enlarged regrowth regions and manufacturing method of the same
CN107919326A (en) * 2016-10-10 2018-04-17 中芯国际集成电路制造(上海)有限公司 Fin field effect pipe and forming method thereof

Also Published As

Publication number Publication date
CN107968071A (en) 2018-04-27

Similar Documents

Publication Publication Date Title
US10867841B2 (en) Semiconductor device and method of manufacturing the same
US10886268B2 (en) Method of manufacturing a semiconductor device with separated merged source/drain structure
CN104681613B (en) The FIN structures of semiconductor devices
US9368411B2 (en) Method for the formation of fin structures for FinFET devices
TWI641085B (en) Differential sg/eg spacer integration with equivalent nfet/pfet spacer widths & dual raised source drain expitaxial silicon and triple-nitride spacer integration enabling high-voltage eg device on fdsoi
CN104576735A (en) FinFET with Buried Insulator Layer and Method for Forming
TW201434155A (en) Semiconductor device and method of manufacturing same
TWI669753B (en) Method of manufaturing semiconductor device
CN106409679A (en) Finfet with doped isolation insulating layer
CN104167393B (en) Semiconductor device manufacturing method
CN106531632B (en) Method for manufacturing stacked nanowire MOS transistor
CN107919282B (en) Semiconductor device, manufacturing method thereof and electronic device
CN106601685B (en) A kind of semiconductor device and its preparation method, electronic device
CN104752175B (en) A kind of method for making semiconductor devices
CN104779148B (en) A method of making a semiconductor device
CN109087890B (en) Semiconductor device, manufacturing method thereof and electronic device
CN106601741A (en) Semiconductor device, preparation method thereof and electronic device
CN104779146B (en) A kind of method for making semiconductor devices
CN107968071B (en) Semiconductor device, manufacturing method thereof and electronic device
CN104051245B (en) A kind of preparation method of semiconductor devices
CN104810324B (en) A kind of method for making semiconductor devices
CN104167358B (en) Semiconductor device manufacturing method
CN109087860B (en) Manufacturing method of FinFET device
CN107919368B (en) Semiconductor device, manufacturing method thereof and electronic device
CN109037213A (en) A kind of semiconductor devices and preparation method thereof and electronic device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant