[go: up one dir, main page]

CN108447823A - A kind of semiconductor device and its manufacturing method and electronic device - Google Patents

A kind of semiconductor device and its manufacturing method and electronic device Download PDF

Info

Publication number
CN108447823A
CN108447823A CN201710084425.9A CN201710084425A CN108447823A CN 108447823 A CN108447823 A CN 108447823A CN 201710084425 A CN201710084425 A CN 201710084425A CN 108447823 A CN108447823 A CN 108447823A
Authority
CN
China
Prior art keywords
layer
region
epitaxial
metal silicide
covering layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201710084425.9A
Other languages
Chinese (zh)
Inventor
李勇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201710084425.9A priority Critical patent/CN108447823A/en
Publication of CN108447823A publication Critical patent/CN108447823A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/01Manufacture or treatment
    • H10D84/0123Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
    • H10D84/0126Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
    • H10D84/0165Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
    • H10D84/0186Manufacturing their interconnections or electrodes, e.g. source or drain electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/01Manufacture or treatment
    • H10D30/021Manufacture or treatment of FETs having insulated gates [IGFET]
    • H10D30/0212Manufacture or treatment of FETs having insulated gates [IGFET] using self-aligned silicidation
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/01Manufacture or treatment
    • H10D84/02Manufacture or treatment characterised by using material-based technologies
    • H10D84/03Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology
    • H10D84/038Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology using silicon technology, e.g. SiGe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/80Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs
    • H10D84/82Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components
    • H10D84/83Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components of only insulated-gate FETs [IGFET]
    • H10D84/85Complementary IGFETs, e.g. CMOS

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A kind of semiconductor devices of present invention offer and its manufacturing method and electronic device, the method includes:Semiconductor substrate is provided, active area and drain region is arranged in semiconductor substrate MOS device area in the semiconductor substrate in MOS device area;It is respectively formed on extension coating on the surface in source region and drain region, doped with impurity in extension coating;In the forming metal layer on surface of extension coating;It reacts at least partly extension coating to form metal silicide with metal layer, wherein the interface between the semi-conducting material that impurity fractional condensation is contacted to metal silicide and metal silicide.The method of the present invention, impurity is segregated to the interface between metal silicide and the semi-conducting material of Metal-silicides Contact, it captures the impurity being entrained in source region and drain region in interface, it forms dopant and detaches Schottky, schottky barrier height is reduced, has been also prevented from the impurity that is captured in extension coating to external diffusion.

Description

一种半导体器件及其制造方法和电子装置A kind of semiconductor device and its manufacturing method and electronic device

技术领域technical field

本发明涉及半导体技术领域,具体而言涉及一种半导体器件及其制造方法和电子装置。The present invention relates to the technical field of semiconductors, in particular to a semiconductor device, a manufacturing method thereof, and an electronic device.

背景技术Background technique

随着半导体器件集成度不断增大,半导体器件相关的临界尺寸不断减小,相应的出现了很多问题,如器件源漏区的表面电阻和接触电阻相应增加,导致器件的响应速度降低,信号出现延迟。因此,低电阻率的互连结构成为制造高集成度半导体器件的一个关键要素。As the integration of semiconductor devices continues to increase, the critical dimensions of semiconductor devices continue to decrease, and many problems have appeared correspondingly, such as the surface resistance and contact resistance of the source and drain regions of the device have increased accordingly, resulting in a decrease in the response speed of the device and signal occurrence. Delay. Therefore, an interconnection structure with low resistivity becomes a key element in the manufacture of highly integrated semiconductor devices.

为了降低器件源/漏区的接触电阻,引入了金属硅化物的工艺方法,通常金属硅化物形成在器件源漏区的表面上,所述金属硅化物具有较低的电阻率,可以显著减小源/漏区的接触电阻。金属硅化物和自对准金属硅化物及形成工艺已被广泛地用于降低器件源极和漏极的表面电阻和接触电阻,从而降低电阻电容延迟时间。In order to reduce the contact resistance of the source/drain region of the device, the process method of metal silicide is introduced. Usually, metal silicide is formed on the surface of the source and drain region of the device. The metal silicide has a lower resistivity and can significantly reduce Contact resistance of the source/drain region. Metal silicides and salicides and their formation processes have been widely used to reduce the surface resistance and contact resistance of device sources and drains, thereby reducing the resistance-capacitance delay time.

对于更小纳米技术工艺节点,例如7nm及其以下纳米技术工艺节点,PMOS器件可以使用Ge沟道,而NMOS器件可以使用III-V族化合物半导体(例如InGaAs)作为沟道,以提高载流子迁移率。而对于上述沟道材料的器件,如何在源漏区的表面形成金属硅化物以及金属硅化物和接触工艺如何应用等是目前面临的主要问题。For smaller nanotechnology process nodes, such as 7nm and below nanotechnology process nodes, PMOS devices can use Ge channels, while NMOS devices can use III-V compound semiconductors (such as InGaAs) as channels to increase carrier density. mobility. For the above channel material devices, how to form the metal silicide on the surface of the source and drain regions and how to apply the metal silicide and the contact process are the main problems at present.

发明内容Contents of the invention

在发明内容部分中引入了一系列简化形式的概念,这将在具体实施方式部分中进一步详细说明。本发明的发明内容部分并不意味着要试图限定出所要求保护的技术方案的关键特征和必要技术特征,更不意味着试图确定所要求保护的技术方案的保护范围。A series of concepts in simplified form are introduced in the Summary of the Invention, which will be further detailed in the Detailed Description. The summary of the invention in the present invention does not mean to limit the key features and essential technical features of the claimed technical solution, nor does it mean to try to determine the protection scope of the claimed technical solution.

针对现有技术的不足,本发明一方面提供一种半导体器件的制造方法,所述方法包括:Aiming at the deficiencies of the prior art, the present invention provides a method for manufacturing a semiconductor device on the one hand, the method comprising:

提供半导体衬底,所述半导体衬底包括MOS器件区,在所述MOS器件区的所述半导体衬底中设置有源区和漏区;A semiconductor substrate is provided, the semiconductor substrate includes a MOS device region, and an active region and a drain region are arranged in the semiconductor substrate of the MOS device region;

在所述源区和所述漏区的表面上均形成外延覆盖层,其中,在所述外延覆盖层中掺杂有掺杂杂质;forming an epitaxial covering layer on the surfaces of both the source region and the drain region, wherein doping impurities are doped in the epitaxial covering layer;

在所述外延覆盖层的表面形成金属层;forming a metal layer on the surface of the epitaxial covering layer;

将至少部分所述外延覆盖层与所述金属层反应形成金属硅化物,其中,所述掺杂杂质分凝到所述金属硅化物和所述金属硅化物所接触的半导体材料之间的界面处。reacting at least part of the epitaxial capping layer with the metal layer to form a metal silicide, wherein the dopant impurity is segregated to an interface between the metal silicide and a semiconductor material with which the metal silicide contacts .

进一步,所述MOS器件区包括PMOS器件区、NMOS器件区中的至少一个;Further, the MOS device area includes at least one of a PMOS device area and an NMOS device area;

所述PMOS器件区的外延覆盖层内的掺杂杂质包括Sb和/或N;The doping impurities in the epitaxial covering layer of the PMOS device region include Sb and/or N;

所述NMOS器件区的外延覆盖层内的掺杂杂质包括Ga和/或C。The doping impurities in the epitaxial covering layer of the NMOS device region include Ga and/or C.

进一步,将整个厚度的所述外延覆盖层与所述金属层反应形成为所述金属硅化物,所述掺杂杂质分凝到所述金属硅化物和所述源区以及所述金属硅化物和所述漏区之间的界面处;或者,Further, the entire thickness of the epitaxial covering layer is reacted with the metal layer to form the metal silicide, and the dopant impurity is segregated to the metal silicide and the source region as well as the metal silicide and at the interface between the drain regions; or,

所述外延覆盖层的表面部分与所述金属层反应形成为所述金属硅化物,所述掺杂杂质分凝到所述金属硅化物和所述外延覆盖层之间的界面处。The surface portion of the epitaxial covering layer reacts with the metal layer to form the metal silicide, and the dopant impurity is segregated to the interface between the metal silicide and the epitaxial covering layer.

进一步,在形成所述外延覆盖层之前,还包括以下步骤:在所述源区和所述漏区内形成应力层,所述外延覆盖层形成在所述应力层的表面上。Further, before forming the epitaxial covering layer, the following step is also included: forming a stress layer in the source region and the drain region, and the epitaxial covering layer is formed on the surface of the stress layer.

进一步,形成所述应力层的方法包括:Further, the method for forming the stress layer includes:

蚀刻部分所述半导体衬底,以在所述源区和所述漏区内形成凹槽;etching a portion of the semiconductor substrate to form grooves in the source region and the drain region;

在所述凹槽中外延生长形成原位掺杂的所述应力层。The stress layer is in-situ doped by epitaxial growth in the groove.

进一步,所述MOS器件区包括PMOS器件区和NMOS器件区,在形成所述PMOS器件区的外延覆盖层之前,或者,在形成所述PMOS器件区的外延覆盖层之后、形成所述PMOS器件区的金属层之前,在所述NMOS器件区的源区和所述漏区的表面上形成所述外延覆盖层。Further, the MOS device region includes a PMOS device region and an NMOS device region, and the PMOS device region is formed before forming the epitaxial covering layer of the PMOS device region, or after forming the epitaxial covering layer of the PMOS device region Before the metal layer of the NMOS device region, the epitaxial covering layer is formed on the surface of the source region and the drain region of the NMOS device region.

进一步,通过退火处理使所述外延覆盖层与所述金属层反应形成所述金属硅化物。Further, the metal silicide is formed by reacting the epitaxial covering layer with the metal layer through an annealing treatment.

本发明另一方面提供一种半导体器件,包括:Another aspect of the present invention provides a semiconductor device, comprising:

半导体衬底,所述半导体衬底包括MOS器件区,在所述MOS器件区的半导体衬底中设置有源区和漏区;a semiconductor substrate, the semiconductor substrate includes a MOS device region, and an active region and a drain region are arranged in the semiconductor substrate of the MOS device region;

在所述源区和所述漏区的表面上均形成有外延覆盖层,其中,在所述外延覆盖层中掺杂有掺杂杂质;An epitaxial covering layer is formed on the surfaces of the source region and the drain region, wherein doping impurities are doped in the epitaxial covering layer;

在所述外延覆盖层的表面形成有金属硅化物,其中,所述掺杂杂质分凝到所述金属硅化物和所述金属硅化物所接触的半导体材料之间的界面处。A metal silicide is formed on the surface of the epitaxial covering layer, wherein the dopant impurity is segregated to the interface between the metal silicide and the semiconductor material that the metal silicide contacts.

进一步,所述MOS器件区包括PMOS器件区、NMOS器件区中的至少一个;Further, the MOS device area includes at least one of a PMOS device area and an NMOS device area;

所述PMOS器件区的外延覆盖层内的所述掺杂杂质包括Sb和/或N;The doping impurities in the epitaxial cover layer of the PMOS device region include Sb and/or N;

所述NMOS器件区的外延覆盖层内的所述掺杂杂质包括Ga和/或C。The doping impurities in the epitaxial covering layer of the NMOS device region include Ga and/or C.

进一步,所述掺杂杂质分凝到所述金属硅化物和所述源区以及所述金属硅化物和所述漏区之间的界面处;或者,Further, the dopant impurity condenses to the interface between the metal silicide and the source region and the metal silicide and the drain region; or,

所述掺杂杂质分凝到所述金属硅化物和所述外延覆盖层之间的界面处。The dopant impurity is segregated to the interface between the metal silicide and the epitaxial cladding layer.

进一步,在所述源区和所述漏区内形成有应力层,所述外延覆盖层形成在所述应力层的表面上。Further, a stress layer is formed in the source region and the drain region, and the epitaxial covering layer is formed on the surface of the stress layer.

本发明再一方面提供一种电子装置,所述电子装置包括前述的半导体器件。Another aspect of the present invention provides an electronic device, which includes the aforementioned semiconductor device.

本发明的制造方法,在MOS器件区的半导体衬底的源区和漏区的表面上均形成外延覆盖层,其中,在所述外延覆盖层中掺杂有掺杂杂质,在所述外延覆盖层的表面形成金属层;至少部分所述外延覆盖层与所述金属层反应形成金属硅化物,其中,所述掺杂杂质分凝到所述金属硅化物和所述金属硅化物所接触的半导体材料之间的界面处,并且在界面处捕捉所述源区和所述漏区中的掺杂杂质(例如N型掺杂杂质或者P型掺杂杂质),进而形成掺杂剂分离肖特基(Dopant segregated Schottky,简称DSS),从而降低了肖特基势垒高度(SBH),降低了源极/漏极区域的接触电阻(Rc),使得晶体管的外部寄生电阻也相应降低,在所述外延覆盖层中掺杂的掺杂杂质还可以阻止外延覆盖层中从所述源区和所述漏区中捕捉获得的掺杂杂质向外扩散,因此,本发明的方法能够提高器件的性能。In the manufacturing method of the present invention, an epitaxial covering layer is formed on the surface of the source region and the drain region of the semiconductor substrate in the MOS device region, wherein the epitaxial covering layer is doped with doping impurities, and the epitaxial covering layer A metal layer is formed on the surface of the layer; at least part of the epitaxial covering layer reacts with the metal layer to form a metal silicide, wherein the doping impurities segregate to the metal silicide and the semiconductor in contact with the metal silicide The interface between the materials, and the doping impurities (such as N-type doping impurities or P-type doping impurities) in the source region and the drain region are captured at the interface, thereby forming a dopant separation Schottky (Dopant segregated Schottky, DSS for short), thereby reducing the Schottky barrier height (SBH), reducing the contact resistance (Rc) of the source/drain region, so that the external parasitic resistance of the transistor is also reduced accordingly, in the The doping impurities doped in the epitaxial covering layer can also prevent the doping impurities captured from the source region and the drain region in the epitaxial covering layer from diffusing outward, therefore, the method of the present invention can improve the performance of the device.

附图说明Description of drawings

本发明的下列附图在此作为本发明的一部分用于理解本发明。附图中示出了本发明的实施例及其描述,用来解释本发明的原理。The following drawings of the invention are hereby included as part of the invention for understanding the invention. The accompanying drawings illustrate embodiments of the invention and description thereof to explain principles of the invention.

附图中:In the attached picture:

图1A至图1G示出了本发明一个实施方式的半导体器件的制造方法的相关步骤所获得的器件的剖面示意图;1A to 1G show schematic cross-sectional views of devices obtained in related steps of a method for manufacturing a semiconductor device according to an embodiment of the present invention;

图2示出了本发明一个实施方式的半导体器件的制造方法的工艺流程图;FIG. 2 shows a process flow diagram of a method for manufacturing a semiconductor device according to an embodiment of the present invention;

图3示出了本发明一实施例中的电子装置的示意图。FIG. 3 shows a schematic diagram of an electronic device in an embodiment of the present invention.

具体实施方式Detailed ways

在下文的描述中,给出了大量具体的细节以便提供对本发明更为彻底的理解。然而,对于本领域技术人员而言显而易见的是,本发明可以无需一个或多个这些细节而得以实施。在其他的例子中,为了避免与本发明发生混淆,对于本领域公知的一些技术特征未进行描述。In the following description, numerous specific details are given in order to provide a more thorough understanding of the present invention. It will be apparent, however, to one skilled in the art that the present invention may be practiced without one or more of these details. In other examples, some technical features known in the art are not described in order to avoid confusion with the present invention.

应当理解的是,本发明能够以不同形式实施,而不应当解释为局限于这里提出的实施例。相反地,提供这些实施例将使公开彻底和完全,并且将本发明的范围完全地传递给本领域技术人员。在附图中,为了清楚,层和区的尺寸以及相对尺寸可能被夸大。自始至终相同附图标记表示相同的元件。It should be understood that the invention can be embodied in different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. In the drawings, the size and relative sizes of layers and regions may be exaggerated for clarity. Like reference numerals refer to like elements throughout.

应当明白,当元件或层被称为“在...上”、“与...相邻”、“连接到”或“耦合到”其它元件或层时,其可以直接地在其它元件或层上、与之相邻、连接或耦合到其它元件或层,或者可以存在居间的元件或层。相反,当元件被称为“直接在...上”、“与...直接相邻”、“直接连接到”或“直接耦合到”其它元件或层时,则不存在居间的元件或层。应当明白,尽管可使用术语第一、第二、第三等描述各种元件、部件、区、层和/或部分,这些元件、部件、区、层和/或部分不应当被这些术语限制。这些术语仅仅用来区分一个元件、部件、区、层或部分与另一个元件、部件、区、层或部分。因此,在不脱离本发明教导之下,下面讨论的第一元件、部件、区、层或部分可表示为第二元件、部件、区、层或部分。It will be understood that when an element or layer is referred to as being "on," "adjacent," "connected to" or "coupled to" another element or layer, it can be directly on the other element or layer. A layer may be on, adjacent to, connected to, or coupled to other elements or layers, or intervening elements or layers may be present. In contrast, when an element is referred to as being "directly on," "directly adjacent to," "directly connected to," or "directly coupled to" another element or layer, there are no intervening elements or layers present. Floor. It will be understood that, although the terms first, second, third etc. may be used to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer or section from another element, component, region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the present invention.

空间关系术语例如“在...下”、“在...下面”、“下面的”、“在...之下”、“在...之上”、“上面的”等,在这里可为了方便描述而被使用从而描述图中所示的一个元件或特征与其它元件或特征的关系。应当明白,除了图中所示的取向以外,空间关系术语意图还包括使用和操作中的器件的不同取向。例如,如果附图中的器件翻转,然后,描述为“在其它元件下面”或“在其之下”或“在其下”元件或特征将取向为在其它元件或特征“上”。因此,示例性术语“在...下面”和“在...下”可包括上和下两个取向。器件可以另外地取向(旋转90度或其它取向)并且在此使用的空间描述语相应地被解释。Spatial terms such as "below", "below", "below", "under", "on", "above", etc., in This may be used for convenience of description to describe the relationship of one element or feature to other elements or features shown in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use and operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements or features described as "below" or "beneath" or "beneath" other elements or features would then be oriented "above" the other elements or features. Thus, the exemplary terms "below" and "beneath" can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatial descriptors used herein interpreted accordingly.

在此使用的术语的目的仅在于描述具体实施例并且不作为本发明的限制。在此使用时,单数形式的“一”、“一个”和“所述/该”也意图包括复数形式,除非上下文清楚指出另外的方式。还应明白术语“组成”和/或“包括”,当在该说明书中使用时,确定所述特征、整数、步骤、操作、元件和/或部件的存在,但不排除一个或更多其它的特征、整数、步骤、操作、元件、部件和/或组的存在或添加。在此使用时,术语“和/或”包括相关所列项目的任何及所有组合。The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used herein, the singular forms "a", "an" and "the/the" are intended to include the plural forms as well, unless the context clearly dictates otherwise. It should also be understood that the terms "consists of" and/or "comprising", when used in this specification, identify the presence of stated features, integers, steps, operations, elements and/or parts, but do not exclude one or more other Presence or addition of features, integers, steps, operations, elements, parts and/or groups. As used herein, the term "and/or" includes any and all combinations of the associated listed items.

这里参考作为本发明的理想实施例(和中间结构)的示意图的横截面图来描述发明的实施例。这样,可以预期由于例如制造技术和/或容差导致的从所示形状的变化。因此,本发明的实施例不应当局限于在此所示的区的特定形状,而是包括由于例如制造导致的形状偏差。例如,显示为矩形的注入区在其边缘通常具有圆的或弯曲特征和/或注入浓度梯度,而不是从注入区到非注入区的二元改变。同样,通过注入形成的埋藏区可导致该埋藏区和注入进行时所经过的表面之间的区中的一些注入。因此,图中显示的区实质上是示意性的,它们的形状并不意图显示器件的区的实际形状且并不意图限定本发明的范围。Embodiments of the invention are described herein with reference to cross-section illustrations that are schematic illustrations of idealized embodiments (and intermediate structures) of the invention. As such, variations from the shapes shown are to be expected due to, for example, manufacturing techniques and/or tolerances. Thus, embodiments of the invention should not be limited to the particular shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an implanted region illustrated as a rectangle will, typically, have rounded or curved features and/or a gradient of implant concentration at its edges rather than a binary change from implanted to non-implanted region. Likewise, a buried region formed by implantation may result in some implantation in the region between the buried region and the surface through which the implantation was performed. Thus, the regions shown in the figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of the invention.

为了彻底理解本发明,将在下列的描述中提出详细步骤,以便阐释本发明提出的技术方案。本发明的较佳实施例详细描述如下,然而除了这些详细描述外,本发明还可以具有其他实施方式。In order to thoroughly understand the present invention, detailed steps will be provided in the following description to explain the technical solution proposed by the present invention. Preferred embodiments of the present invention are described in detail below, however, the present invention may have other embodiments besides these detailed descriptions.

实施例一Embodiment one

为了解决前述的技术问题,本发明提供一种半导体器件的制造方法,如图2所述,主要包括以下步骤:In order to solve the foregoing technical problems, the present invention provides a method for manufacturing a semiconductor device, as shown in Figure 2, mainly comprising the following steps:

步骤S1,提供半导体衬底,所述半导体衬底包括MOS器件区,在所述MOS器件区的所述半导体衬底中设置有源区和漏区;Step S1, providing a semiconductor substrate, the semiconductor substrate includes a MOS device region, and an active region and a drain region are arranged in the semiconductor substrate of the MOS device region;

步骤S2,在所述源区和所述漏区的表面上均形成外延覆盖层,其中,在所述外延覆盖层中掺杂有掺杂杂质;Step S2, forming an epitaxial covering layer on the surfaces of both the source region and the drain region, wherein the epitaxial covering layer is doped with doping impurities;

步骤S3,在所述外延覆盖层的表面形成金属层;Step S3, forming a metal layer on the surface of the epitaxial covering layer;

步骤S4,将至少部分所述外延覆盖层与所述金属层反应形成金属硅化物,其中,所述掺杂杂质分凝到所述金属硅化物和所述金属硅化物所接触的半导体材料之间的界面处。Step S4, reacting at least part of the epitaxial covering layer with the metal layer to form a metal silicide, wherein the dopant impurity is segregated between the metal silicide and the semiconductor material in contact with the metal silicide at the interface.

本发明的制造方法,在MOS器件区的半导体衬底的源区和漏区的表面上均形成外延覆盖层,其中,在所述外延覆盖层中掺杂有掺杂杂质,在所述外延覆盖层的表面形成金属层;至少部分所述外延覆盖层与所述金属层反应形成金属硅化物,其中,所述掺杂杂质分凝到所述金属硅化物和所述金属硅化物所接触的半导体材料之间的界面处,并且在界面处捕捉所述源区和所述漏区中的掺杂杂质,进而形成掺杂剂分离肖特基(Dopant segregatedSchottky,简称DSS),从而降低了肖特基势垒高度(SBH),降低了源极/漏极区域的接触电阻(Rc),使得晶体管的外部寄生电阻也相应降低,在所述外延覆盖层中掺杂的掺杂杂质还可以阻止外延覆盖层中从所述源区和所述漏区中捕捉获得的掺杂杂质向外扩散,因此,本发明的方法能够提高器件的性能。In the manufacturing method of the present invention, an epitaxial covering layer is formed on the surface of the source region and the drain region of the semiconductor substrate in the MOS device region, wherein the epitaxial covering layer is doped with doping impurities, and the epitaxial covering layer A metal layer is formed on the surface of the layer; at least part of the epitaxial covering layer reacts with the metal layer to form a metal silicide, wherein the doping impurities segregate to the metal silicide and the semiconductor in contact with the metal silicide The interface between the materials, and the doping impurities in the source region and the drain region are captured at the interface, thereby forming a dopant segregated Schottky (DSS for short), thereby reducing the Schottky The potential barrier height (SBH) reduces the contact resistance (Rc) of the source/drain region, so that the external parasitic resistance of the transistor is also reduced accordingly, and the doping impurities doped in the epitaxial covering layer can also prevent the epitaxial covering The dopant impurity captured from the source region and the drain region in the layer diffuses outward, therefore, the method of the present invention can improve the performance of the device.

下面,参考图1A至图1G对本发明的半导体器件的制造方法做详细描述,其中,图1A至图1G示出了本发明一个实施方式的半导体器件的制造方法的相关步骤所获得的器件的剖面示意图。Next, the method for manufacturing a semiconductor device of the present invention will be described in detail with reference to FIGS. 1A to 1G , wherein FIGS. 1A to 1G show the cross-section of the device obtained in the relevant steps of the method for manufacturing a semiconductor device according to an embodiment of the present invention. schematic diagram.

首先,执行步骤一,提供半导体衬底,所述半导体衬底包括PMOS器件区,在所述PMOS器件区的所述半导体衬底上设置有第一栅极结构,在所述第一栅极结构两侧所述PMOS器件区的半导体衬底中设置有第一源区和第一漏区,其中,在所述第一源区和第一漏区中掺杂有P型掺杂杂质。First, step 1 is performed to provide a semiconductor substrate, the semiconductor substrate includes a PMOS device region, a first gate structure is arranged on the semiconductor substrate in the PMOS device region, and the first gate structure A first source region and a first drain region are arranged in the semiconductor substrate of the PMOS device region on both sides, wherein P-type dopant impurities are doped in the first source region and the first drain region.

具体地,如图1A所示,半导体衬底100为体硅衬底,其可以是以下所提到的材料中的至少一种:Si、Ge、SiGe、SiC、SiGeC、InAs、GaAs、InP、InGaAs或者其它III/V化合物半导体,还包括这些半导体构成的多层结构等,或者为绝缘体上硅(SOI)、绝缘体上层叠硅(SSOI)、绝缘体上层叠锗化硅(S-SiGeOI)、绝缘体上锗化硅(SiGeOI)以及绝缘体上锗(GeOI)等。Specifically, as shown in FIG. 1A, the semiconductor substrate 100 is a bulk silicon substrate, which may be at least one of the following materials: Si, Ge, SiGe, SiC, SiGeC, InAs, GaAs, InP, InGaAs or other III/V compound semiconductors, including multilayer structures composed of these semiconductors, or silicon-on-insulator (SOI), silicon-on-insulator (SSOI), silicon-germanium-on-insulator (S-SiGeOI), insulator Silicon germanium-on-insulator (SiGeOI) and germanium-on-insulator (GeOI), etc.

在一个示例中,所述半导体器件包括MOS器件区,所述MOS器件区包括PMOS器件区、NMOS器件区中的至少一个。In one example, the semiconductor device includes a MOS device region, and the MOS device region includes at least one of a PMOS device region and an NMOS device region.

在一个示例中,所述半导体衬底包括NMOS器件区和PMOS器件区,其中,在所述PMOS器件区的半导体衬底上形成有第一栅极结构,在所述NMOS器件区的半导体衬底上形成有第二栅极结构。In one example, the semiconductor substrate includes an NMOS device region and a PMOS device region, wherein a first gate structure is formed on the semiconductor substrate of the PMOS device region, and a first gate structure is formed on the semiconductor substrate of the NMOS device region A second gate structure is formed on it.

其中,所述第一栅极结构和所述第二栅极结构可以为伪栅极结构,伪栅极结构包括自下而上层叠的伪栅极结构均包括伪栅极介电层和伪栅极材料层。Wherein, the first gate structure and the second gate structure may be dummy gate structures, and the dummy gate structures include dummy gate structures stacked from bottom to top, each of which includes a dummy gate dielectric layer and a dummy gate pole material layer.

示例性地,第一栅极结构和第二栅极结构还可以为金属栅极结构。Exemplarily, the first gate structure and the second gate structure may also be metal gate structures.

示例性地,所述PMOS器件区内的第一栅极结构下方的沟道材料包括元素半导体,其中,元素半导体材料可以为本领域技术人员熟知的任何使用的元素半导体,包括但不限于Ge或者Si或者SiGe,所述NMOS器件区内的第二栅极结构下方的沟道材料可以包括III-V族化合物半导体,例如,III-V族二元或者三元化合物半导体,本实施例中,所述III-V族化合物半导体为InGaAs,本实施例中,所述元素半导体为Ge,使用III-V族化合物半导体作为NMOS器件的沟道,而使用元素半导体作为PMOS器件的沟道,可以提高载流子迁移率。Exemplarily, the channel material under the first gate structure in the PMOS device region includes an elemental semiconductor, wherein the elemental semiconductor material can be any elemental semiconductor known to those skilled in the art, including but not limited to Ge or Si or SiGe, the channel material under the second gate structure in the NMOS device region may include III-V group compound semiconductors, for example, III-V group binary or ternary compound semiconductors, in this embodiment, the The III-V group compound semiconductor is InGaAs. In this embodiment, the elemental semiconductor is Ge, and the III-V group compound semiconductor is used as the channel of the NMOS device, and the elemental semiconductor is used as the channel of the PMOS device, which can improve the loading capacity. Flow rate.

进一步地,在所述第二栅极结构两侧所述NMOS器件区的半导体衬底中设置第二源区和第二漏区,在所述第二源区和所述第二漏区中掺杂有N型掺杂杂质。Further, a second source region and a second drain region are set in the semiconductor substrate of the NMOS device region on both sides of the second gate structure, and doped in the second source region and the second drain region Doped with N-type doping impurities.

示例性地,本发明的半导体器件为FinFET器件,在每个所述PMOS器件区的半导体衬底上形成有第一鳍片结构1011,则在所述NMOS器件区内的半导体衬底上形成有第二鳍片结构1012,所述第一栅极结构横跨所述第一鳍片结构1011,第二栅极结构横跨所述第二鳍片结构1012。Exemplarily, the semiconductor device of the present invention is a FinFET device, a first fin structure 1011 is formed on the semiconductor substrate in each of the PMOS device regions, and a fin structure 1011 is formed on the semiconductor substrate in the NMOS device region. The second fin structure 1012 , the first gate structure straddles the first fin structure 1011 , and the second gate structure straddles the second fin structure 1012 .

在一个示例中,以FinFET器件为例,为了获得如图1A所示的结构,可以执行以下步骤A1至A4:In one example, taking a FinFET device as an example, in order to obtain the structure shown in Figure 1A, the following steps A1 to A4 can be performed:

首先,执行步骤A1,在半导体衬底上形成多个鳍片结构,例如,在所述半导体衬底上的所述PMOS器件区和所述NMOS器件区内分别形成有第一鳍片结构和第二鳍片结构,鳍片结构的宽度全部相同,或者鳍片分为具有不同宽度的多个鳍片结构组,鳍片结构的长度也可不相同。First, step A1 is performed to form a plurality of fin structures on the semiconductor substrate, for example, a first fin structure and a second fin structure are respectively formed in the PMOS device region and the NMOS device region on the semiconductor substrate. For the two-fin structure, the widths of the fin structures are all the same, or the fins are divided into multiple fin structure groups with different widths, and the lengths of the fin structures may also be different.

具体地,所述鳍片结构的形成方法并不局限于某一种,下面给出一种示例性的形成方法:在半导体衬底上形成硬掩膜层(图中未示出),形成所述硬掩膜层可以采用本领域技术人员所熟习的各种适宜的工艺,例如化学气相沉积工艺,所述硬掩膜层可以为自下而上层叠的氧化物层和氮化硅层;图案化所述硬掩膜层,形成用于蚀刻半导体衬底以在其上形成鳍片的多个彼此隔离的掩膜,在一个实施例中,采用自对准双图案(SADP)工艺实施所述图案化过程;蚀刻半导体衬底以在其上形成鳍片结构。Specifically, the forming method of the fin structure is not limited to a certain one, and an exemplary forming method is given below: forming a hard mask layer (not shown in the figure) on the semiconductor substrate, forming the The hard mask layer can adopt various suitable processes familiar to those skilled in the art, such as chemical vapor deposition process, and the hard mask layer can be an oxide layer and a silicon nitride layer stacked from bottom to top; pattern The hard mask layer is formed to form a plurality of masks isolated from each other for etching the semiconductor substrate to form fins thereon. In one embodiment, the self-aligned double patterning (SADP) process is used to implement the Patterning process; etching a semiconductor substrate to form fin structures thereon.

随后,还可执行步骤A2,沉积隔离材料层,以覆盖前述的所有鳍片结构。Subsequently, step A2 may also be performed to deposit an isolation material layer to cover all the aforementioned fin structures.

具体地,沉积隔离材料层,以完全填充鳍片结构之间的间隙。在一个实施例中,采用具有可流动性的化学气相沉积工艺实施所述沉积。隔离材料层的材料可以选择氧化物,例如高深宽比工艺(HARP)氧化物,具体可以为氧化硅。Specifically, a layer of isolation material is deposited to completely fill the gaps between the fin structures. In one embodiment, the deposition is performed using a flowable chemical vapor deposition process. The material of the isolation material layer may be oxide, such as high aspect ratio process (HARP) oxide, specifically silicon oxide.

然后回蚀刻所述隔离材料层,至所述鳍片结构的目标高度,以形成隔离结构102,所述隔离结构102的顶面低于第一鳍片结构和所述第二鳍片结构的顶面。具体地,回蚀刻所述隔离材料层,以露出部分所述鳍片结构,进而形成具有特定高度的鳍片结构。Then etch back the isolation material layer to the target height of the fin structure to form the isolation structure 102, the top surface of the isolation structure 102 is lower than the top of the first fin structure and the second fin structure. noodle. Specifically, the isolation material layer is etched back to expose part of the fin structure, thereby forming a fin structure with a specific height.

接着,执行步骤A3,形成横跨所述第一鳍片结构的第一伪栅极结构104p和横跨第二鳍片结构的第二伪栅极结构104n,其中伪栅极结构均包括自下而上依次层叠的伪栅极介电层1041和伪栅极材料层1042。Next, step A3 is performed to form a first dummy gate structure 104p across the first fin structure and a second dummy gate structure 104n across the second fin structure, wherein the dummy gate structures both include A dummy gate dielectric layer 1041 and a dummy gate material layer 1042 are sequentially stacked on top of it.

需要指出的是,本发明中所使用的术语“横跨”,例如横跨鳍片结构(例如第一鳍片结构、第二鳍片结构等)的伪栅极结构(或者栅极结构),是指在鳍片结构的部分的上表面和侧面均形成有伪栅极结构,并且该伪栅极结构还形成在半导体衬底的部分表面上。It should be pointed out that the term "straddling" used in the present invention, for example, straddles the dummy gate structure (or gate structure) of the fin structure (such as the first fin structure, the second fin structure, etc.), It means that a dummy gate structure is formed on both the upper surface and the side surface of part of the fin structure, and the dummy gate structure is also formed on a part of the surface of the semiconductor substrate.

在一个示例中,可先在半导体衬底上依次沉积形成伪栅极介电层1041和伪栅极材料层1042。In one example, the dummy gate dielectric layer 1041 and the dummy gate material layer 1042 may be sequentially deposited on the semiconductor substrate first.

其中,所述伪栅极介电层可以选用常用的氧化物,例如SiO2,所述伪栅极材料层可以选用本领域常用的半导体材料,例如可以选用多晶硅等,并不局限于某一种,在此不再一一列举、Wherein, the dummy gate dielectric layer can be a commonly used oxide, such as SiO 2 , and the dummy gate material layer can be a semiconductor material commonly used in the field, such as polysilicon, etc., and is not limited to a certain kind. , will not be listed here,

所述伪栅极材料层1042的沉积方法可以选用化学气相沉积或者原子层沉积等方法。The deposition method of the dummy gate material layer 1042 may be chemical vapor deposition or atomic layer deposition.

然后图案化所述伪栅极介电层和伪栅极材料层,以形成所述第一伪栅极结构和第二伪栅极结构。具体地,在所述伪栅极材料层上硬掩膜层105,在所述硬掩膜层105上形成光刻胶层,然后曝光显影,以形成定义有第一伪栅极结构和第二伪栅极结构图案化的图案化的光刻胶层,然后以所述光刻胶层为掩膜依次蚀刻所述硬掩膜层105、伪栅极材料层1042和伪栅极介电层1041,以形成所述第一伪栅极结构和第二伪栅极结构,最后去除光刻胶层。Then pattern the dummy gate dielectric layer and the dummy gate material layer to form the first dummy gate structure and the second dummy gate structure. Specifically, a hard mask layer 105 is formed on the dummy gate material layer, a photoresist layer is formed on the hard mask layer 105, and then exposed and developed to form a first dummy gate structure and a second dummy gate structure. A patterned photoresist layer for patterning the dummy gate structure, and then using the photoresist layer as a mask to sequentially etch the hard mask layer 105, the dummy gate material layer 1042 and the dummy gate dielectric layer 1041 , to form the first dummy gate structure and the second dummy gate structure, and finally remove the photoresist layer.

其中,硬掩膜层105仍然保留在所述伪栅极材料层1042上。Wherein, the hard mask layer 105 still remains on the dummy gate material layer 1042 .

之后,还可选择性地,在所述第一伪栅极结构和第二伪栅极结构的侧壁上形成偏移侧墙(未示出)。After that, optionally, an offset spacer (not shown) can be formed on the sidewalls of the first dummy gate structure and the second dummy gate structure.

具体地,所述偏移侧墙可以为氧化硅、氮化硅、氮氧化硅中一种或者它们组合构成。作为本实施例的一中实施方式,所述偏移侧墙为氧化硅、氮化硅共同组成,具体工艺为:在半导体衬底上形成第一氧化硅层、第一氮化硅层以及第二氧化硅层,然后采用蚀刻方法形成偏移侧墙。也可以在伪栅极结构的顶面和侧壁上均形成侧墙材料层,在之后的步骤中通过平坦化的方法,例如化学机械研磨,将顶面上的侧墙材料层去除,形成仅仅位于侧壁上的偏移侧墙。Specifically, the offset sidewall may be one of silicon oxide, silicon nitride, silicon oxynitride or a combination thereof. As an implementation of this embodiment, the offset sidewall is composed of silicon oxide and silicon nitride, and the specific process is: forming a first silicon oxide layer, a first silicon nitride layer, and a second silicon nitride layer on a semiconductor substrate. The silicon dioxide layer is then etched to form offset sidewalls. It is also possible to form a sidewall material layer on both the top surface and the sidewall of the dummy gate structure, and in a subsequent step, the sidewall material layer on the top surface is removed by a planarization method, such as chemical mechanical polishing, to form only Offset side walls on side walls.

可选地,对第一伪栅极结构以及第二伪栅极结构两侧分别执行LDD离子注入步骤并退火活化。Optionally, an LDD ion implantation step is performed on both sides of the first dummy gate structure and the second dummy gate structure, and annealing is activated.

LDD离子注入以在源/漏区形成轻掺杂漏(LDD)结构可以降低电场,并可以显著改进热电子效应。LDD ion implantation to form a lightly doped drain (LDD) structure in the source/drain region can reduce the electric field and can significantly improve the hot electron effect.

对PMOS区内的第一伪栅极结构两侧的第一鳍片结构进行LDD离子注入,以形成P型轻掺杂漏(LDD),其注入离子可以为任意的P型掺杂离子,包括但不限于硼(B)离子、铟(In)离子。Perform LDD ion implantation to the first fin structures on both sides of the first dummy gate structure in the PMOS region to form a P-type lightly doped drain (LDD), and the implanted ions can be any P-type dopant ions, including But not limited to boron (B) ions and indium (In) ions.

对NMOS区内的第二伪栅极结构两侧的第二鳍片结构进行LDD离子注入进行LDD离子注入,以形成N型轻掺杂漏(LDD),其注入离子可以为任意适合的N型掺杂离子,包括但不限于磷(P)离子、砷(As)离子。LDD ion implantation is performed on the second fin structures on both sides of the second dummy gate structure in the NMOS region to form an N-type lightly doped drain (LDD), and the implanted ions can be any suitable N-type Doping ions include but not limited to phosphorus (P) ions and arsenic (As) ions.

接着,执行步骤A4,在所述第一源区和所述第一漏区内形成第一应力层,所述P型掺杂杂质掺杂在所述第一应力层中。Next, step A4 is performed to form a first stress layer in the first source region and the first drain region, and the P-type dopant impurity is doped in the first stress layer.

在一个示例中,形成所述第一应力层的方法包括以下步骤:In one example, the method for forming the first stress layer includes the following steps:

如图1A所示,首先,形成第一间隙壁材料层106p,以覆盖所述半导体衬底100以及所述第一栅极结构。As shown in FIG. 1A , first, a first spacer material layer 106p is formed to cover the semiconductor substrate 100 and the first gate structure.

具体地,所述第一间隙壁材料层106p覆盖所述PMOS器件区和NMOS器件区的半导体衬底100以及所述第一伪栅极结构104p和第二伪栅极结构104n的表面。Specifically, the first spacer material layer 106p covers the semiconductor substrate 100 in the PMOS device region and the NMOS device region and the surfaces of the first dummy gate structure 104p and the second dummy gate structure 104n.

第一间隙壁材料层106p可以为氧化硅、氮化硅、氮氧化硅中一种或者它们组合构成。作为本实施例的一中实施方式,第一间隙壁材料层106为氧化硅、氮化硅共同组成。The first spacer material layer 106p may be one of silicon oxide, silicon nitride, silicon oxynitride or a combination thereof. As an implementation manner of this embodiment, the first spacer material layer 106 is composed of silicon oxide and silicon nitride.

接着,蚀刻去除部分所述第一间隙壁材料层106p,以露出所述第一源区和所述第一漏区的表面,并在所述第一伪栅极结构104p的侧壁上形成第一间隙壁1061。Next, part of the first spacer material layer 106p is removed by etching to expose the surfaces of the first source region and the first drain region, and a first dummy gate structure 104p is formed on the sidewall. a spacer wall 1061 .

具体地,可将所述第一伪栅极结构104p的顶面上的第一间隙壁材料层106p刻蚀去除,而在第一伪栅极结构104p外侧的第一鳍片结构和第二鳍片结构上可以保留第一间隙壁材料层106p,以作为后续外延生长第一应力层时的保护层。Specifically, the first spacer material layer 106p on the top surface of the first dummy gate structure 104p can be etched away, while the first fin structure and the second fin structure outside the first dummy gate structure 104p The first spacer material layer 106p may remain on the sheet structure as a protection layer for the subsequent epitaxial growth of the first stress layer.

示例性地,可实现通过光刻工艺,形成图案化的光刻胶层,该光刻胶层覆盖所述NMOS器件区,而露出所述PMOS器件区内的第一源区和第一漏区表面上的第一间隙壁材料层以及第一伪栅极结构表面上的第一间隙壁材料层,还可露出形成在隔离结构102上的第一间隙壁材料层106p,之后再以该图案化的光刻胶层为掩膜,蚀刻去除露出的第一间隙壁材料层,以露出所述第一源区和所述第一漏区的表面,并在所述第一伪栅极结构104p的侧壁上形成第一间隙壁。Exemplarily, a patterned photoresist layer can be formed through a photolithography process, and the photoresist layer covers the NMOS device region and exposes the first source region and the first drain region in the PMOS device region The first spacer material layer on the surface and the first spacer material layer on the surface of the first dummy gate structure can also expose the first spacer material layer 106p formed on the isolation structure 102, and then the patterning The photoresist layer is used as a mask, and the exposed first spacer material layer is etched away to expose the surfaces of the first source region and the first drain region, and the first dummy gate structure 104p A first gap wall is formed on the side wall.

刻蚀的方法可以使用本领域技术人员熟知的任何适合的干法刻蚀或者湿法刻蚀等方法。The etching method can use any suitable method such as dry etching or wet etching known to those skilled in the art.

蚀刻完成后,可通过例如灰化的方法将所述光刻胶层去除。After the etching is completed, the photoresist layer can be removed by a method such as ashing.

随后,蚀刻去除部分所述半导体衬底,以在所述第一源区和所述第一漏区内形成第一凹槽,再在所述第一凹槽中形成第一应力层1031。Subsequently, a part of the semiconductor substrate is removed by etching to form a first groove in the first source region and the first drain region, and then a first stress layer 1031 is formed in the first groove.

在一个示例中,刻蚀所述第一伪栅极结构104p两侧的部分所述第一鳍片结构,以在预定形成第一源区和第一漏区的区域形成第一凹槽;再在所述第一凹槽中选择性外延生长所述第一应力层1031。更优选,第一凹槽还可以为“∑”形凹槽。In one example, etching part of the first fin structure on both sides of the first dummy gate structure 104p to form a first groove in a region where the first source region and the first drain region are scheduled to be formed; then The first stress layer 1031 is selectively epitaxially grown in the first groove. More preferably, the first groove can also be a "Σ" shaped groove.

示例性地,使用外延生长工艺在所述第一凹槽中外延生长形成所述P型掺杂杂质原位掺杂的所述第一应力层,由于第一间隙壁材料层106p以及在伪栅极材料层上的硬掩膜层105的保护作用,因此,第一应力层1031仅选择性地生长在露出的第一凹槽中的半导体衬底的表面,并且,由于第一间隙壁材料层104n完全覆盖了NMOS器件区,所以不会在NMOS器件区的半导体材料上生长所述第一应力层1031。Exemplarily, using an epitaxial growth process to epitaxially grow the first stress layer in-situ doped with the P-type dopant impurity in the first groove, because the first spacer material layer 106p and the dummy gate The protective effect of the hard mask layer 105 on the electrode material layer, therefore, the first stress layer 1031 is only selectively grown on the surface of the semiconductor substrate in the exposed first groove, and, due to the first spacer material layer 104n completely covers the NMOS device region, so the first stress layer 1031 will not grow on the semiconductor material of the NMOS device region.

选择性外延生长可以采用低压化学气相沉积(LPCVD)、等离子体增强化学气相沉积(PECVD)、超高真空化学气相沉积(UHVCVD)、快速热化学气相沉积(RTCVD)和分子束外延(MBE)中的一种。Selective epitaxy can be grown using low-pressure chemical vapor deposition (LPCVD), plasma-enhanced chemical vapor deposition (PECVD), ultra-high vacuum chemical vapor deposition (UHVCVD), rapid thermal chemical vapor deposition (RTCVD) and molecular beam epitaxy (MBE). kind of.

第一应力层1031的材料可以包括SiGe或其他可提供压应力的适合的材料。具体地,可采用化学气相沉积方法或者气体源分子束外延方法生长原位掺杂的SiGe,用硅烷或者乙硅烷作为硅源,同时加入一定量的锗烷。例如,选用GeH4和SiH2Cl2作为反应气体,并选择H2作为载气,其中反应气体和载气的流量比为0.01-0.1,沉积的温度为300℃-1000℃,优选为650℃-750℃,气体压力为1Torr-50Torr,优选为20Torr-40Torr,还可选择性地同时可在沉积工艺期间通过提供如硼、二氟化硼(BF2)及/或乙硼烷(B2H6)等掺质剂以使SiGe外延层包括如硼之类的P型掺杂杂质。The material of the first stress layer 1031 may include SiGe or other suitable materials that can provide compressive stress. Specifically, in-situ doped SiGe can be grown by chemical vapor deposition or gas source molecular beam epitaxy, using silane or disilane as a silicon source, and adding a certain amount of germane. For example, GeH 4 and SiH 2 Cl 2 are selected as the reaction gas, and H 2 is selected as the carrier gas, wherein the flow ratio of the reaction gas and the carrier gas is 0.01-0.1, and the deposition temperature is 300°C-1000°C, preferably 650°C -750°C, the gas pressure is 1Torr-50Torr, preferably 20Torr-40Torr, and optionally during the deposition process by providing boron, boron difluoride (BF 2 ) and/or diborane (B 2 H 6 ) and other dopants to make the SiGe epitaxial layer include P-type dopant impurities such as boron.

其中,原位掺杂P型掺杂杂质的第一应力层可用于在PMOS器件区内形成源/漏区,例如重掺杂的源/漏区。Wherein, the first stress layer doped with P-type impurity in-situ can be used to form source/drain regions in the PMOS device region, such as heavily doped source/drain regions.

在PMOS内形成具有压应力的应力层,CMOS器件的性能可以通过将压应力作用于PMOS来提高。A stress layer with compressive stress is formed in the PMOS, and the performance of the CMOS device can be improved by applying compressive stress to the PMOS.

进一步地,所述第一应力层1031形成于所述第一鳍片结构中,并且所述第一应力层1031的顶面高于所述第一鳍片结构的顶面。Further, the first stress layer 1031 is formed in the first fin structure, and the top surface of the first stress layer 1031 is higher than the top surface of the first fin structure.

在一个示例中,在所述第一源区和第一漏区中不设置第一应力层,而通过源/漏离子注入的方法,对第一源区和第一漏区进行P型掺杂杂质的掺杂,其中,该源/漏离子注入的方法可以使用本领域技术人员常用的方法,进一步地,可形成重掺杂的第一源区和第一漏区。In one example, the first stress layer is not provided in the first source region and the first drain region, and the first source region and the first drain region are P-type doped by means of source/drain ion implantation The impurity doping, wherein, the source/drain ion implantation method can use the method commonly used by those skilled in the art, and further, the heavily doped first source region and the first drain region can be formed.

至此,经过上述步骤获得如图1A所述的结构。So far, the structure as shown in FIG. 1A is obtained through the above steps.

接着,执行步骤二,在所述第一源区和第一漏区的表面上均形成第一外延覆盖层,其中,在所述第一外延覆盖层中掺杂有第一掺杂杂质。Next, step 2 is performed to form a first epitaxial covering layer on the surfaces of the first source region and the first drain region, wherein the first epitaxial covering layer is doped with first dopant impurities.

具体地,如图1B所示,在所述第一源区和第一漏区的表面上均形成第一外延覆盖层107,其中,在所述第一外延覆盖层107中掺杂有第一掺杂杂质。Specifically, as shown in FIG. 1B , a first epitaxial covering layer 107 is formed on the surfaces of the first source region and the first drain region, wherein the first epitaxial covering layer 107 is doped with the first Doped with impurities.

在一个示例中,在所述第一源区和第一漏区内形成有第一应力层1031,则所述第一外延覆盖层107形成于所述第一应力层1031的表面。In one example, a first stress layer 1031 is formed in the first source region and the first drain region, and the first epitaxial covering layer 107 is formed on the surface of the first stress layer 1031 .

其中,所述第一外延覆盖层107的材料可以为任何适合的含硅的半导体材料,包括但不限于SiGe、Si等。本实施例中,所述第一外延覆盖层107的材料较佳地为SiGe。Wherein, the material of the first epitaxial covering layer 107 may be any suitable silicon-containing semiconductor material, including but not limited to SiGe, Si and the like. In this embodiment, the material of the first epitaxial cladding layer 107 is preferably SiGe.

可选地,第一掺杂杂质包括Sb和/或N,本实施例中,较佳地,第一掺杂杂质包括Sb和N。Optionally, the first doping impurity includes Sb and/or N. In this embodiment, preferably, the first doping impurity includes Sb and N.

在一个示例中,使用外延生长工艺在所述第一应力层1031的表面外延生长形成所述第一掺杂杂质原位掺杂的所述第一外延覆盖层107,由于第一间隙壁材料层106p的保护作用,因此,第一外延覆盖层107仅选择性地生长在露出的第一应力层1031表面。In one example, the first epitaxial capping layer 107 doped in-situ with the first dopant impurity is epitaxially grown on the surface of the first stress layer 1031 by using an epitaxial growth process, because the first spacer material layer 106p, therefore, the first epitaxial cladding layer 107 is only selectively grown on the exposed surface of the first stress layer 1031.

选择性外延生长可以采用低压化学气相沉积(LPCVD)、等离子体增强化学气相沉积(PECVD)、超高真空化学气相沉积(UHVCVD)、快速热化学气相沉积(RTCVD)和分子束外延(MBE)中的一种。Selective epitaxy can be grown using low-pressure chemical vapor deposition (LPCVD), plasma-enhanced chemical vapor deposition (PECVD), ultra-high vacuum chemical vapor deposition (UHVCVD), rapid thermal chemical vapor deposition (RTCVD) and molecular beam epitaxy (MBE). kind of.

在一个示例中,第一外延覆盖层107的材料可以包括SiGe,具体地,可采用化学气相沉积方法或者气体源分子束外延方法生长原位掺杂的SiGe,用硅烷或者乙硅烷作为硅源,同时加入一定量的锗烷。例如,选用GeH4和SiH2Cl2作为反应气体,并选择H2作为载气,其中反应气体和载气的流量比为0.01-0.1,沉积的温度为300℃-1000℃,优选为650℃-750℃,气体压力为1Torr-50Torr,优选为20Torr-40Torr,还可选择性地同时可在沉积工艺期间通过提供如Sb和N等掺质剂以使SiGe外延层包括如Sb和/或N之类的第一掺杂杂质。In one example, the material of the first epitaxial cladding layer 107 may include SiGe, specifically, in-situ doped SiGe may be grown by chemical vapor deposition or gas source molecular beam epitaxy, using silane or disilane as the silicon source, At the same time, a certain amount of germane was added. For example, GeH 4 and SiH 2 Cl 2 are selected as the reaction gas, and H 2 is selected as the carrier gas, wherein the flow ratio of the reaction gas and the carrier gas is 0.01-0.1, and the deposition temperature is 300°C-1000°C, preferably 650°C -750°C, the gas pressure is 1Torr-50Torr, preferably 20Torr-40Torr, and optionally during the deposition process by providing dopants such as Sb and N so that the SiGe epitaxial layer includes such as Sb and/or N Such as the first dopant impurity.

可选地,第一外延覆盖层107的厚度可以根据实际工艺需要进行合理选择,例如,第一外延覆盖层107的厚度范围可以为5埃~20埃,或者其他适合的厚度。Optionally, the thickness of the first epitaxial covering layer 107 may be reasonably selected according to actual process requirements. For example, the thickness of the first epitaxial covering layer 107 may range from 5 angstroms to 20 angstroms, or other suitable thicknesses.

接着,执行步骤三,在所述第二源区和所述第二漏区内形成第二应力层,所述N型掺杂杂质掺杂在所述第二应力层中。Next, step 3 is performed to form a second stress layer in the second source region and the second drain region, and the N-type impurity is doped in the second stress layer.

在一个示例中,形成所述第二应力层1032的方法包括以下步骤:In one example, the method for forming the second stress layer 1032 includes the following steps:

首先,如图1C所示,形成第二间隙壁材料层106n,以覆盖所述PMOS器件区和所述NMOS器件区的所述半导体衬底100、所述第一栅极结构以及所述第二栅极结构。First, as shown in FIG. 1C, a second spacer material layer 106n is formed to cover the semiconductor substrate 100, the first gate structure and the second gate structure in the PMOS device region and the NMOS device region. grid structure.

示例性地,在所述隔离结构102、所述第一间隙壁材料层、所述第一间隙壁1061、所述第一鳍片结构、所述第二鳍片结构、所述第一外延覆盖层107、所述第一伪栅极结构104p和所述第二伪栅极结构104n露出的表面上形成所述第二间隙材料层106n。Exemplarily, in the isolation structure 102, the first spacer material layer, the first spacer 1061, the first fin structure, the second fin structure, the first epitaxial covering The second gap material layer 106n is formed on the exposed surfaces of the layer 107, the first dummy gate structure 104p and the second dummy gate structure 104n.

第二间隙壁材料层106n可以为氧化硅、氮化硅、氮氧化硅中的一种或者它们组合构成。作为本实施例的一中实施方式,第二间隙壁材料层106n为氧化硅、氮化硅共同组成。可以使用任何适合的沉积方法形成,包括但不限于化学气相沉积、物理气相沉积或者原子层沉积等方法。The second spacer material layer 106n may be one of silicon oxide, silicon nitride, silicon oxynitride or a combination thereof. As an implementation of this embodiment, the second spacer material layer 106n is composed of silicon oxide and silicon nitride. It can be formed using any suitable deposition method, including but not limited to methods such as chemical vapor deposition, physical vapor deposition, or atomic layer deposition.

接着,继续如图1C所示,蚀刻去除部分所述第二间隙壁材料层,以露出所述第二源区和所述第二漏区的表面,并在所述第二栅极结构的侧壁上形成第二间隙壁1062。Next, as shown in FIG. 1C , part of the second spacer material layer is etched away to expose the surfaces of the second source region and the second drain region, and on the sides of the second gate structure A second spacer wall 1062 is formed on the wall.

示例性地,可实现通过光刻工艺,形成图案化的光刻胶层,该光刻胶层覆盖所述PMOS器件区,而露出所述NMOS器件区内的第二源区和第二漏区表面上的第二间隙壁材料层以及第二伪栅极结构104n表面上的第二间隙壁材料层,还可露出NMOS器件区内部分形成在隔离结构102上的第二间隙壁材料层106n,之后再以该图案化的光刻胶层为掩膜,蚀刻去除露出的第二间隙壁材料层以及其下方的第一间隙壁材料层,以露出所述第一源区和所述第一漏区的表面,并在所述第二伪栅极结构104n的侧壁上形成第二间隙壁1062。Exemplarily, a patterned photoresist layer can be formed through a photolithography process, and the photoresist layer covers the PMOS device region and exposes the second source region and the second drain region in the NMOS device region The second spacer material layer on the surface and the second spacer material layer on the surface of the second dummy gate structure 104n may also expose part of the second spacer material layer 106n formed on the isolation structure 102 in the NMOS device region, Then use the patterned photoresist layer as a mask to etch and remove the exposed second spacer material layer and the first spacer material layer below it to expose the first source region and the first drain region, and form a second spacer 1062 on the sidewall of the second dummy gate structure 104n.

其中,第二间隙壁材料层106n位于PMOS器件区的部分被保留下来,以用作保护层。Wherein, the part of the second spacer material layer 106n located in the PMOS device region is reserved to serve as a protection layer.

刻蚀的方法可以使用本领域技术人员熟知的任何适合的干法刻蚀或者湿法刻蚀等方法。The etching method can use any suitable method such as dry etching or wet etching known to those skilled in the art.

蚀刻完成后,可通过例如灰化的方法将所述光刻胶层去除。After the etching is completed, the photoresist layer can be removed by a method such as ashing.

随后,蚀刻去除部分所述半导体衬底,以在所述第二源区和所述第二漏区内形成第二凹槽,再在所述第二凹槽中形成第二应力层1032。Subsequently, a part of the semiconductor substrate is removed by etching to form a second groove in the second source region and the second drain region, and then a second stress layer 1032 is formed in the second groove.

在一个示例中,刻蚀所述第二伪栅极结构104n两侧的部分所述第二鳍片结构,以在预定形成第二源区和第二漏区的区域形成第二凹槽;再在所述第二凹槽中选择性外延生长所述第二应力层1032。In one example, etching part of the second fin structure on both sides of the second dummy gate structure 104n to form a second groove in the area where the second source region and the second drain region are scheduled to be formed; then The second stress layer 1032 is selectively epitaxially grown in the second groove.

示例性地,使用外延生长工艺在所述第二凹槽中外延生长形成所述N型掺杂杂质原位掺杂的所述第二应力层,由于第二间隙壁材料层106n以及在伪栅极材料层上的硬掩膜层105的保护作用,因此,第二应力层1032仅选择性地生长在露出的第二凹槽中的半导体衬底的表面,并且,由于第二间隙壁材料层106n覆盖所述第一外延覆盖层107,以及整个PMOS器件区,因此不会有第二应力层生长在PMOS器件区。Exemplarily, using an epitaxial growth process to epitaxially grow the second stress layer in-situ doped with the N-type impurity in the second groove, because the second spacer material layer 106n and the dummy gate The protective effect of the hard mask layer 105 on the electrode material layer, therefore, the second stress layer 1032 is only selectively grown on the surface of the semiconductor substrate in the exposed second groove, and, due to the second spacer material layer 106n covers the first epitaxial covering layer 107 and the entire PMOS device region, so no second stress layer will grow in the PMOS device region.

选择性外延生长可以采用低压化学气相沉积(LPCVD)、等离子体增强化学气相沉积(PECVD)、超高真空化学气相沉积(UHVCVD)、快速热化学气相沉积(RTCVD)和分子束外延(MBE)中的一种。Selective epitaxy can be grown using low-pressure chemical vapor deposition (LPCVD), plasma-enhanced chemical vapor deposition (PECVD), ultra-high vacuum chemical vapor deposition (UHVCVD), rapid thermal chemical vapor deposition (RTCVD) and molecular beam epitaxy (MBE). kind of.

在NMOS器件区中,第二应力层1032通常具有拉应力。第二应力层1032的材料可以为SiP、SiC或其他可提供拉应力的适合的材料。本实施例中,较佳地选择SiP作为第二应力层1032。In the NMOS device region, the second stress layer 1032 generally has tensile stress. The material of the second stress layer 1032 can be SiP, SiC or other suitable materials that can provide tensile stress. In this embodiment, SiP is preferably selected as the second stress layer 1032 .

进一步地,可采用化学气相沉积方法或者气体源分子束外延方法生长SiP,用硅烷或者乙硅烷作为硅源,磷烷作为磷源,进而形成磷原位掺杂的Si外延层。Further, SiP can be grown by chemical vapor deposition or gas source molecular beam epitaxy, using silane or disilane as the silicon source, and phosphine as the phosphorus source, thereby forming a phosphorus in-situ doped Si epitaxial layer.

进一步地,所述第二应力层1032形成于所述第二鳍片结构中,并且所述第二应力层1032的顶面高于所述第二鳍片结构的顶面。Further, the second stress layer 1032 is formed in the second fin structure, and the top surface of the second stress layer 1032 is higher than the top surface of the second fin structure.

其中,原位掺杂N型掺杂杂质的第一应力层可用于在NMOS器件区内形成源/漏区,例如重掺杂的源/漏区。Wherein, the first stress layer doped with N-type impurity in-situ can be used to form source/drain regions in the NMOS device region, such as heavily doped source/drain regions.

在一个示例中,在所述第二源区和第二漏区中不设置第二应力层,而通过源/漏离子注入的方法,对第二源区和第二漏区进行N型掺杂杂质的掺杂,其中,该源/漏离子注入的方法可以使用本领域技术人员常用的方法,进一步地,可形成重掺杂的第二源区和第二漏区。In one example, the second stress layer is not provided in the second source region and the second drain region, and the second source region and the second drain region are N-type doped by means of source/drain ion implantation The impurity doping, wherein, the source/drain ion implantation method can use the method commonly used by those skilled in the art, and further, a heavily doped second source region and a second drain region can be formed.

随后,执行步骤四,在所述第二源区和所述第二漏区的表面上形成第二外延覆盖层,其中,在所述第二外延覆盖层中掺杂有第二掺杂杂质。Subsequently, step 4 is performed to form a second epitaxial covering layer on the surfaces of the second source region and the second drain region, wherein the second epitaxial covering layer is doped with second dopant impurities.

示例性地,如图1D所示,在所述第二源区和所述第二漏区的表面上形成第二外延覆盖层108,其中,在所述第二外延覆盖层108中掺杂有第二掺杂杂质。Exemplarily, as shown in FIG. 1D , a second epitaxial covering layer 108 is formed on the surfaces of the second source region and the second drain region, wherein the second epitaxial covering layer 108 is doped with The second doping impurity.

在一个示例中,在所述第二源区和第二漏区内形成有第二应力层1032,则所述第二外延覆盖层108形成于所述第二应力层1032的表面上。In one example, a second stress layer 1032 is formed in the second source region and the second drain region, and the second epitaxial covering layer 108 is formed on the surface of the second stress layer 1032 .

其中,所述第二外延覆盖层108的材料可以为任何适合的含硅的半导体材料,包括但不限于SiGe、Si等。本实施例中,所述第二外延覆盖层108的材料较佳地为SiGe。Wherein, the material of the second epitaxial cladding layer 108 may be any suitable silicon-containing semiconductor material, including but not limited to SiGe, Si and the like. In this embodiment, the material of the second epitaxial cladding layer 108 is preferably SiGe.

可选地,第二掺杂杂质包括Ga和/或C,本实施例中,较佳地,第二掺杂杂质包括Ga和C。Optionally, the second dopant impurity includes Ga and/or C. In this embodiment, preferably, the second dopant impurity includes Ga and C.

在一个示例中,使用外延生长工艺在所述第二应力层1032的表面外延生长形成所述第二掺杂杂质原位掺杂的所述第二外延覆盖层108,由于第二间隙壁材料层106n以及硬掩膜层105的保护作用,因此,第二外延覆盖层108仅选择性地生长在露出的第二应力层1032表面。In one example, the second epitaxial cladding layer 108 doped in-situ with the second dopant impurity is epitaxially grown on the surface of the second stress layer 1032 by using an epitaxial growth process, because the second spacer material layer 106n and the protective effect of the hard mask layer 105, therefore, the second epitaxial covering layer 108 is only selectively grown on the exposed surface of the second stress layer 1032.

选择性外延生长可以采用低压化学气相沉积(LPCVD)、等离子体增强化学气相沉积(PECVD)、超高真空化学气相沉积(UHVCVD)、快速热化学气相沉积(RTCVD)和分子束外延(MBE)中的一种。Selective epitaxy can be grown using low-pressure chemical vapor deposition (LPCVD), plasma-enhanced chemical vapor deposition (PECVD), ultra-high vacuum chemical vapor deposition (UHVCVD), rapid thermal chemical vapor deposition (RTCVD) and molecular beam epitaxy (MBE). kind of.

在一个示例中,第二外延覆盖层108的材料可以包括SiGe,具体地,可采用化学气相沉积方法或者气体源分子束外延方法生长原位掺杂的SiGe,用硅烷或者乙硅烷作为硅源,同时加入一定量的锗烷。例如,选用GeH4和SiH2Cl2作为反应气体,并选择H2作为载气,其中反应气体和载气的流量比为0.01-0.1,沉积的温度为300℃-1000℃,优选为650℃-750℃,气体压力为1Torr-50Torr,优选为20Torr-40Torr,还可选择性地同时在沉积工艺期间通过提供如Ga和C等掺质剂以使SiGe外延层包括如Ga和/或C之类的第二掺杂杂质。In one example, the material of the second epitaxial cladding layer 108 may include SiGe, specifically, in-situ doped SiGe may be grown by chemical vapor deposition or gas source molecular beam epitaxy, using silane or disilane as the silicon source, At the same time, a certain amount of germane was added. For example, GeH 4 and SiH 2 Cl 2 are selected as the reaction gas, and H 2 is selected as the carrier gas, wherein the flow ratio of the reaction gas and the carrier gas is 0.01-0.1, and the deposition temperature is 300°C-1000°C, preferably 650°C -750°C, the gas pressure is 1Torr-50Torr, preferably 20Torr-40Torr, it is also possible to selectively provide dopants such as Ga and C during the deposition process to make the SiGe epitaxial layer include Ga and/or C The second dopant impurity of the class.

可选地,第二外延覆盖层108的厚度可以根据实际工艺需要进行合理选择,例如,第二外延覆盖层108的厚度范围可以为5埃~20埃,或者其他适合的厚度。Optionally, the thickness of the second epitaxial covering layer 108 may be reasonably selected according to actual process requirements, for example, the thickness of the second epitaxial covering layer 108 may range from 5 angstroms to 20 angstroms, or other suitable thicknesses.

值得一提的是,本实施例中先形成PMOS器件区的第一应力层、第一外延覆盖层以及第一掺杂杂质的掺杂等步骤,再进行NMOS器件区的第二应力层、第二外延覆盖层以及第二掺杂杂质的掺杂等步骤,而对于其他步骤顺序的合理调换也同样适用于本发明,例如,先进行NMOS器件区的第二应力层、第二外延覆盖层以及第二掺杂杂质的掺杂等步骤,再形成PMOS器件区的第一应力层、第一外延覆盖层以及第一掺杂杂质的掺杂等步骤。It is worth mentioning that in this embodiment, the first stress layer in the PMOS device region, the first epitaxial covering layer, and the doping of the first dopant impurity are first formed, and then the second stress layer in the NMOS device region, the second stress layer, and the like are formed. Steps such as the doping of the second epitaxial covering layer and the second doping impurity, and the reasonable exchange of the order of other steps are also applicable to the present invention, for example, the second stress layer of the NMOS device region, the second epitaxial covering layer and Steps such as doping the second doping impurity, and then forming the first stress layer in the PMOS device region, the first epitaxial covering layer, and doping the first doping impurity.

接着,执行步骤五,在所述半导体衬底上形成第一层间介电层,所述第一层间介电层的顶面与所述第一栅极结构和所述第二栅极结构的顶面齐平。Next, step 5 is performed to form a first interlayer dielectric layer on the semiconductor substrate, the top surface of the first interlayer dielectric layer is connected to the first gate structure and the second gate structure flush with the top.

示例性地,如图1E所示,所述第一栅极结构为第一伪栅极结构104p,所述第二栅极结构为第二伪栅极结构104n,沉积第一层间介电层1091,以覆盖整个半导体衬底的表面,再执行平坦化的步骤,停止于第一伪栅极结构104p和第二伪栅极结构104n的顶面上,通过平坦化可将第一伪栅极结构104p和第二伪栅极结构104n的顶面上的硬掩膜层去除。Exemplarily, as shown in FIG. 1E, the first gate structure is a first dummy gate structure 104p, the second gate structure is a second dummy gate structure 104n, and a first interlayer dielectric layer is deposited 1091 to cover the entire surface of the semiconductor substrate, and then perform a planarization step, stopping on the top surfaces of the first dummy gate structure 104p and the second dummy gate structure 104n, the first dummy gate structure can be flattened by planarization The hard mask layer on the top surfaces of the structure 104p and the second dummy gate structure 104n is removed.

其中,所述第一层间介电层1091可以选用本领域中常用的介电材料,例如各种氧化物等,在该实施例中层间介电层可以选用SiO2,其厚度并不局限于某一数值。Wherein, the first interlayer dielectric layer 1091 can be selected from dielectric materials commonly used in this field, such as various oxides, etc. In this embodiment, the interlayer dielectric layer can be selected from SiO 2 , and its thickness is not limited. at a certain value.

所述平坦化处理的非限制性实例包括机械平坦化方法和化学机械抛光平坦化方法。Non-limiting examples of the planarization process include a mechanical planarization method and a chemical mechanical polishing planarization method.

接着,执行步骤六,去除所述第一伪栅极结构和所述第二伪栅极结构,以形成第一栅极沟槽和第二栅极沟槽。Next, step six is performed, removing the first dummy gate structure and the second dummy gate structure to form a first gate trench and a second gate trench.

去除第一伪栅极结构和第二伪栅极结构,包括依次去除伪栅极介电层和伪栅极材料层,以在PMOS器件区的半导体衬底100上形成第一栅极沟槽,在NMOS器件区的半导体衬底100上形成第二栅极沟槽,该PMOS器件区内的栅极沟槽在所述第一鳍片结构的延伸方向上露出部分所述第一鳍片结构,NMOS器件区的栅极沟槽在所述第二鳍片结构的延伸方向上露出部分所述第二鳍片结构。removing the first dummy gate structure and the second dummy gate structure, including sequentially removing the dummy gate dielectric layer and the dummy gate material layer, so as to form a first gate trench on the semiconductor substrate 100 in the PMOS device region, Forming a second gate trench on the semiconductor substrate 100 in the NMOS device region, the gate trench in the PMOS device region exposes part of the first fin structure in the extending direction of the first fin structure, The gate trench of the NMOS device region exposes part of the second fin structure in the extending direction of the second fin structure.

去除所述第一伪栅极结构和所述第二伪栅极结构的方法可以为任意适合的干法刻蚀或者湿法刻蚀的方法。The method of removing the first dummy gate structure and the second dummy gate structure may be any suitable dry etching or wet etching method.

接着,执行步骤七,在所述第一栅极沟槽内形成第一金属栅极结构,并在所述第二栅极沟槽内形成第二金属栅极结构。Next, step seven is performed, forming a first metal gate structure in the first gate trench, and forming a second metal gate structure in the second gate trench.

示例性地,如图1E所示,在所述第一栅极沟槽内形成第一金属栅极结构1101,并在所述第二栅极沟槽内形成第二金属栅极结构1102。Exemplarily, as shown in FIG. 1E , a first metal gate structure 1101 is formed in the first gate trench, and a second metal gate structure 1102 is formed in the second gate trench.

示例性地,第一金属栅极结构1101包括形成在所述PMOS器件区内的栅极沟槽底部的界面层,依次形成在栅极沟槽的底部和侧壁上并位于所述界面层上方的高k介电层、第一扩散阻挡层、P型功函数层、N型功函数层和第二扩散阻挡层,以及填充所述栅极沟槽的栅电极层。Exemplarily, the first metal gate structure 1101 includes an interface layer formed at the bottom of the gate trench in the PMOS device region, sequentially formed on the bottom and sidewalls of the gate trench and located above the interface layer The high-k dielectric layer, the first diffusion barrier layer, the P-type work function layer, the N-type work function layer and the second diffusion barrier layer, and the gate electrode layer filling the gate trench.

示例性地,第二金属栅极结构1102包括形成在所述NMOS器件区内的栅极沟槽底部的界面层,依次形成在栅极沟槽的底部和侧壁上并位于所述界面层上方的高k介电层、第一扩散阻挡层、N型功函数层和第二扩散阻挡层,以及填充所述栅极沟槽的栅电极层。Exemplarily, the second metal gate structure 1102 includes an interface layer formed at the bottom of the gate trench in the NMOS device region, sequentially formed on the bottom and sidewalls of the gate trench and located above the interface layer The high-k dielectric layer, the first diffusion barrier layer, the N-type work function layer and the second diffusion barrier layer, and the gate electrode layer filling the gate trench.

其中,可以使用本领域技术人员熟知的任何适合的方法形成所述第一金属栅极结构和第二金属栅极结构,在此不做一一赘述。Wherein, the first metal gate structure and the second metal gate structure may be formed using any suitable method known to those skilled in the art, and details will not be repeated here.

值得一提的是,本发明的所述第一栅极结构和第二栅极结构还可以为其他类型的栅极结构,例如栅极结构包括自下而上依次层叠的栅极介电层和栅极层,栅极介电层可以为氧化硅等介电材料,栅极层可以为多晶硅等材料。It is worth mentioning that the first gate structure and the second gate structure of the present invention can also be other types of gate structures, for example, the gate structure includes gate dielectric layers and For the gate layer, the gate dielectric layer may be a dielectric material such as silicon oxide, and the gate layer may be a material such as polysilicon.

接着,执行步骤八,在所述第一层间介电层以及所述第一栅极结构和所述第二栅极结构的表面上形成第二层间介电层。Next, step 8 is performed, forming a second interlayer dielectric layer on the surfaces of the first interlayer dielectric layer, the first gate structure, and the second gate structure.

具体地,如图1F所示,所述第二层间介电层1092覆盖所述第一层间介电层1091以及所述第一金属栅极结构1101和所述第二金属栅极结构1102的表面,并平坦化。Specifically, as shown in FIG. 1F , the second interlayer dielectric layer 1092 covers the first interlayer dielectric layer 1091 and the first metal gate structure 1101 and the second metal gate structure 1102 surface and flatten it.

所述第二层间介电层1092可为氧化硅层,包括利用热化学气相沉积(thermalCVD)制造工艺或高密度等离子体(HDP)制造工艺形成的有掺杂或未掺杂的氧化硅的材料层,例如未经掺杂的硅玻璃(USG)、磷硅玻璃(PSG)或硼磷硅玻璃(BPSG)。此外,层间介电层也可以是掺杂硼或掺杂磷的自旋涂布式玻璃(spin-on-glass,SOG)、掺杂磷的四乙氧基硅烷(PTEOS)或掺杂硼的四乙氧基硅烷(BTEOS)。The second interlayer dielectric layer 1092 may be a silicon oxide layer, including doped or undoped silicon oxide formed by a thermal chemical vapor deposition (thermalCVD) manufacturing process or a high density plasma (HDP) manufacturing process. Layers of material such as undoped silica glass (USG), phosphosilicate glass (PSG) or borophosphosilicate glass (BPSG). In addition, the interlayer dielectric layer can also be boron-doped or phosphorus-doped spin-on-glass (SOG), phosphorus-doped tetraethoxysilane (PTEOS) or boron-doped Tetraethoxysilane (BTEOS).

所述第二层间介电层1092的厚度可以为任意适合的数值,在此不做具体限定,第二层间介电层1092的顶面高于第一金属栅极结构1101和所述第二金属栅极结构1102的顶面。The thickness of the second interlayer dielectric layer 1092 can be any suitable value, which is not specifically limited here. The top surface of the second interlayer dielectric layer 1092 is higher than the first metal gate structure 1101 and the first metal gate structure 1101 The top surface of the two metal gate structure 1102 .

接着,执行步骤九,在所述第二层间介电层和所述第一层间介电层中形成第一接触孔开口,所述第一接触孔开口露出所述第一外延覆盖层的表面,在所述第二层间介电层和所述第一层间介电层中形成第二接触孔开口,所述第二接触孔开口露出所述第二外延覆盖层的表面。Next, perform step nine, forming a first contact hole opening in the second interlayer dielectric layer and the first interlayer dielectric layer, the first contact hole opening exposing the first epitaxial covering layer A second contact hole opening is formed in the second interlayer dielectric layer and the first interlayer dielectric layer, and the second contact hole opening exposes the surface of the second epitaxial covering layer.

在一个示例中,形成所述第一接触孔开口和所述第二接触孔开口的方法包括以下步骤:In one example, the method of forming the first contact hole opening and the second contact hole opening includes the following steps:

首先,如图1F所示,蚀刻所述层间介电层(包括第二层间介电层1092和第一层间介电层1091)分别停止于所述第一外延覆盖层107和第二外延覆盖层108的表面上,以形成第一接触孔开口1111和第二接触孔开口1112。First, as shown in FIG. 1F, the etching of the interlayer dielectric layer (including the second interlayer dielectric layer 1092 and the first interlayer dielectric layer 1091) stops at the first epitaxial capping layer 107 and the second epitaxy on the surface of the capping layer 108 to form a first contact hole opening 1111 and a second contact hole opening 1112 .

进一步地,所述第一接触孔开口1111的底部位于所述第一外延覆盖层107中,所述第二接触孔开口1112的底部位于所述第二外延覆盖层108中。Further, the bottom of the first contact opening 1111 is located in the first epitaxial covering layer 107 , and the bottom of the second contact opening 1112 is located in the second epitaxial covering layer 108 .

具体地,可首先在第二层间介电层1092的表面上形成图案化的光刻胶层,该光刻胶层定义预定形成的第一接触孔开口和第二接触孔开口的位置和尺寸等,再以该图案化的光刻胶为掩膜依次蚀刻第二层间介电层和第一层间介电层,分别停止于所述第一外延覆盖层107和第二外延覆盖层108的表面上,以形成第一接触孔开口1111和第二接触孔开口1112。Specifically, a patterned photoresist layer can be firstly formed on the surface of the second interlayer dielectric layer 1092, and the photoresist layer defines the positions and sizes of the predetermined first contact hole opening and the second contact hole opening. etc., and then use the patterned photoresist as a mask to sequentially etch the second interlayer dielectric layer and the first interlayer dielectric layer, stopping at the first epitaxial covering layer 107 and the second epitaxial covering layer 108 respectively. to form a first contact hole opening 1111 and a second contact hole opening 1112 .

随后,去除图案化的光刻胶层,例如使用灰化的方法去除所述光刻胶层。Subsequently, the patterned photoresist layer is removed, for example, using ashing method to remove the photoresist layer.

随后,执行步骤十,在所述第二层间介电层中形成第三接触孔开口和第四接触孔开口,其中,所述第三接触孔开口露出所述第一栅极结构的顶面,所述第四接触孔开口露出所述第二栅极结构的顶面。Subsequently, step ten is performed, forming a third contact hole opening and a fourth contact hole opening in the second interlayer dielectric layer, wherein the third contact hole opening exposes the top surface of the first gate structure , the opening of the fourth contact hole exposes the top surface of the second gate structure.

具体地,如图1F所示,在所述第二层间介电层1092中形成第三接触孔开口1113和第四接触孔开口1114,其中,所述第三接触孔开口1113露出所述第一金属栅极结构1101的顶面,所述第四接触孔开口1114露出所述第二金属栅极结构1102的顶面。Specifically, as shown in FIG. 1F, a third contact hole opening 1113 and a fourth contact hole opening 1114 are formed in the second interlayer dielectric layer 1092, wherein the third contact hole opening 1113 exposes the first The top surface of a metal gate structure 1101 , the fourth contact opening 1114 exposes the top surface of the second metal gate structure 1102 .

示例性地,在第二层间介电层1092上形成图案化的光刻胶层,该图案化的光刻胶层定义第三接触孔开口和第四接触孔开口的位置、尺寸和图案等参数,再以图案化的光刻胶层为掩膜,蚀刻所述第二层间介电层1092停止于第一金属栅极结构1101和第二金属栅极结构1102的表面上,以形成所述第三接触孔开口1113和第四接触孔开口1114。Exemplarily, a patterned photoresist layer is formed on the second interlayer dielectric layer 1092, and the patterned photoresist layer defines the position, size and pattern of the opening of the third contact hole and the opening of the fourth contact hole, etc. parameters, and then use the patterned photoresist layer as a mask to etch the second interlayer dielectric layer 1092 to stop on the surface of the first metal gate structure 1101 and the second metal gate structure 1102, so as to form the The third contact hole opening 1113 and the fourth contact hole opening 1114 are described above.

随后,可以使用例如灰化的方法去除该光刻胶层。Subsequently, the photoresist layer may be removed using methods such as ashing.

随后,执行步骤十一,进行预清洗步骤,以去除自然氧化层。Subsequently, step eleven is performed to perform a pre-cleaning step to remove the natural oxide layer.

具体地,如图1G所示,进行预清洗步骤,以去除所述第一接触孔开口、第二接触孔开口、第三接触孔开口和第四接触孔开口底部的氧化层,例如自然氧化层。Specifically, as shown in FIG. 1G, a pre-cleaning step is performed to remove the oxide layer at the bottom of the first contact hole opening, the second contact hole opening, the third contact hole opening and the fourth contact hole opening, such as a natural oxide layer. .

该预清洗可以使用本领域技术人员熟知的任何适合的方法,例如使用包括氢氟酸的清洗液等。The pre-cleaning can use any suitable method known to those skilled in the art, for example, using a cleaning solution including hydrofluoric acid and the like.

随后,执行步骤十二,在所述第一接触孔开口和所述第二接触孔开口的底部和侧壁上形成金属层。Subsequently, step 12 is performed, forming a metal layer on the bottoms and sidewalls of the first contact hole opening and the second contact hole opening.

具体地,如图1G所示,在所述第一接触孔开口、所述第二接触孔开口、第三接触孔开口和第四接触孔开口的底部和侧壁上形成金属层112。Specifically, as shown in FIG. 1G , a metal layer 112 is formed on the bottom and sidewalls of the first contact opening, the second contact opening, the third contact opening and the fourth contact opening.

其中,金属层的材料可以使用钛(Ti)、含镍(nickel)、钴(cobalt)及铂(platinum)或其组合的材料,本实施例中,较佳地使用金属层的材料为Ti。The material of the metal layer may be titanium (Ti), nickel, cobalt, platinum or a combination thereof. In this embodiment, Ti is preferably used as the material of the metal layer.

可以使用本领域技术人员熟知的任何适合的方法沉积形成所述金属层112,包括但不限于化学气相沉积方法或物理气相沉积方法等。The metal layer 112 can be deposited by any suitable method known to those skilled in the art, including but not limited to chemical vapor deposition or physical vapor deposition.

随后,执行步骤十三,在所述金属层112上形成覆盖层(未示出)。Subsequently, step 13 is performed to form a covering layer (not shown) on the metal layer 112 .

其中覆盖层的制备方法可选用物理气相沉积(PVD),覆盖层可于介于-40℃~400℃的温度与约介于0.1毫托(mTorr)~100毫托(mTorr)的压力下形成。覆盖层材料为金属或金属化合物层的材质例如钽、氮化钽、钛、氮化钛、氮化锆、氮化钛锆、钨、氮化钨、其合金或其组成物。此外,覆盖层亦可能包括多个膜层,本实施例中,所述覆盖层包括TiN层。The covering layer can be prepared by physical vapor deposition (PVD), and the covering layer can be formed at a temperature between -40°C and 400°C and a pressure between 0.1 mTorr and 100 mTorr. . The material of the covering layer is metal or metal compound layer material such as tantalum, tantalum nitride, titanium, titanium nitride, zirconium nitride, titanium zirconium nitride, tungsten, tungsten nitride, alloys or compositions thereof. In addition, the covering layer may also include multiple film layers. In this embodiment, the covering layer includes a TiN layer.

随后,执行步骤十四,进行退火步骤。Subsequently, step fourteen is performed to perform an annealing step.

该退火步骤可以使用任何适合的退火方法,例如炉管退火、激光快速退火、脉冲电子束快速退火、离子束快速退火、连续波激光快速退火以及非相干宽带光源(如卤灯、电弧灯、石墨加热)快速退火。本实施例中,较佳地,退火处理使用激光退火(laser anneal)。This annealing step can use any suitable annealing method, such as furnace tube annealing, laser rapid annealing, pulsed electron beam rapid annealing, ion beam rapid annealing, continuous wave laser rapid annealing, and incoherent broadband light sources (such as halogen lamps, arc lamps, graphite heating) rapid annealing. In this embodiment, preferably, the annealing treatment uses laser annealing (laser anneal).

其中,退火的温度范围可以为800~1100℃,较佳地,退火的温度为900℃。退火时间可以为任意适合的时间,例如,退火时间范围可以为400μs~800μs,该退火时间也即使用激光退火时的停留时间(Dwell time)。Wherein, the annealing temperature range may be 800-1100°C, preferably, the annealing temperature is 900°C. The annealing time may be any suitable time, for example, the annealing time may range from 400 μs to 800 μs, and the annealing time is also the dwell time (dwell time) when laser annealing is used.

在此步骤的退火过程中,使第一接触孔开口底部的所述金属层和与其接触的第一外延覆盖层107反应生成第一金属硅化物(例如,TiSi),使第二接触孔开口底部的所述金属层与其接触的第二外延覆盖层108反应生成第二金属硅化物(例如,TiSi)。During the annealing process in this step, the metal layer at the bottom of the opening of the first contact hole and the first epitaxial covering layer 107 in contact with it react to form a first metal silicide (for example, TiSi), so that the bottom of the opening of the second contact hole The metal layer reacts with the second epitaxial capping layer 108 in contact with it to form a second metal silicide (for example, TiSi).

在一个示例中,第一金属硅化物包围所述第一接触孔开口的底部,并且第一金属硅化物的底部位于第一外延覆盖层107中并高于所述半导体衬底的表面。In one example, the first metal silicide surrounds the bottom of the opening of the first contact hole, and the bottom of the first metal silicide is located in the first epitaxial capping layer 107 and higher than the surface of the semiconductor substrate.

在一个示例中,第一金属硅化物包围所述第二接触孔开口的底部,并且第一金属硅化物的底部位于第二外延覆盖层108中并高于所述半导体衬底的表面。In one example, the first metal silicide surrounds the bottom of the opening of the second contact hole, and the bottom of the first metal silicide is located in the second epitaxial capping layer 108 and higher than the surface of the semiconductor substrate.

同时该退火步骤还使所述第一掺杂杂质(Sb和N)分凝到所述第一金属硅化物和其所接触的半导体材料之间的界面处,并且在界面处捕捉所述P型掺杂杂质(例如,该P型掺杂杂质为第一应力层中原位掺杂的P型掺杂杂质),进而形成掺杂剂分离肖特基(Dopantsegregated Schottky,简称DSS),P型掺杂杂质最终位于界面处金属硅化物一侧,从而降低了肖特基势垒高度(SBH),降低了源极/漏极区域的接触电阻(Rc),使得晶体管的外部寄生电阻也相应降低,掺杂的第一掺杂杂质还可以阻止第一外延覆盖层中的P型掺杂杂质向外扩散(例如,向第一应力层中扩散)。At the same time, the annealing step also segregates the first dopant impurities (Sb and N) to the interface between the first metal silicide and the semiconductor material it contacts, and captures the P-type impurity at the interface. Doping impurities (for example, the P-type doping impurities are P-type doping impurities in-situ doped in the first stress layer), thereby forming dopant separation Schottky (Dopantsegregated Schottky, DSS for short), P-type doping The impurities are finally located on the side of the metal silicide at the interface, thereby reducing the Schottky barrier height (SBH), reducing the contact resistance (Rc) of the source/drain region, and reducing the external parasitic resistance of the transistor accordingly. The impurity first dopant impurity can also prevent the P-type dopant impurity in the first epitaxial cladding layer from diffusing out (for example, diffusing into the first stress layer).

在一个示例中,整个厚度的所述第一外延覆盖层与所述金属层反应形成为所述第一金属硅化物,所述第一掺杂杂质分凝到所述第一金属硅化物和所述第一源区以及所述第一金属硅化物和所述第一漏区之间的界面处,例如,所述第一掺杂杂质分凝到所述第一金属硅化物和所述第一应力层的界面处。In one example, the entire thickness of the first epitaxial cladding layer reacts with the metal layer to form the first metal silicide, and the first dopant impurity is segregated into the first metal silicide and the first metal silicide. At the interface between the first source region and the first metal silicide and the first drain region, for example, the first dopant impurity is condensed to the first metal silicide and the first drain region. interface of the stress layer.

在一个示例中,所述第一外延覆盖层的表面部分与所述金属层反应形成为所述第一金属硅化物,所述第一掺杂杂质分凝到所述第一金属硅化物和所述第一外延覆盖层之间的界面处。In one example, the surface portion of the first epitaxial cladding layer reacts with the metal layer to form the first metal silicide, and the first dopant impurity is segregated into the first metal silicide and the first metal silicide. at the interface between the first epitaxial cladding layers.

进一步地,同时该退火步骤还使所述第二掺杂杂质(Ga和/或C)分凝到所述第二金属硅化物和其所接触的半导体材料之间的界面处,并且在界面处捕捉所述N型掺杂杂质(例如,该N型掺杂杂质为第二应力层中原位掺杂的N型掺杂杂质),进而形成掺杂剂分离肖特基(Dopant segregated Schottky,简称DSS),N型掺杂杂质最终位于界面处金属硅化物一侧,从而降低了肖特基势垒高度(SBH),降低了源极/漏极区域的接触电阻(Rc),使得晶体管的外部寄生电阻也相应降低,掺杂的第二掺杂杂质还可以阻止第二外延覆盖层中的N型掺杂杂质向外扩散(例如,向第二应力层中扩散)。Further, at the same time, the annealing step also causes the second dopant impurity (Ga and/or C) to segregate to the interface between the second metal silicide and the semiconductor material it contacts, and at the interface Capture the N-type dopant impurity (for example, the N-type dopant impurity is the N-type dopant impurity doped in-situ in the second stress layer), and then form a dopant segregated Schottky (DSS for short) ), N-type doping impurities are finally located on the side of the metal silicide at the interface, thereby reducing the Schottky barrier height (SBH), reducing the contact resistance (Rc) of the source/drain region, and making the external parasitic of the transistor The resistance is correspondingly reduced, and the doped second dopant impurity can also prevent the N-type dopant impurity in the second epitaxial covering layer from diffusing out (for example, diffusing into the second stress layer).

在一个示例中,整个厚度的所述第二外延覆盖层与所述金属层反应形成为所述第二金属硅化物,所述第二掺杂杂质分凝到所述第二金属硅化物和所述第二源区以及所述第二金属硅化物和所述第二漏区之间的界面处,例如,所述第二掺杂杂质分凝到所述第二金属硅化物和所述第二应力层的界面处。In one example, the entire thickness of the second epitaxial cladding layer reacts with the metal layer to form the second metal silicide, and the second dopant impurity is segregated into the second metal silicide and the second metal silicide. At the interface between the second source region and the second metal silicide and the second drain region, for example, the second dopant impurity condenses to the second metal silicide and the second interface of the stress layer.

在一个示例中,所述第二外延覆盖层的表面部分与所述金属层反应形成为所述第二金属硅化物,所述第二掺杂杂质分凝到所述第二金属硅化物和所述第二外延覆盖层之间的界面处。In one example, the surface portion of the second epitaxial cladding layer reacts with the metal layer to form the second metal silicide, and the second dopant impurity is segregated to the second metal silicide and the second metal silicide. at the interface between the second epitaxial cladding layer.

值得注意的是,还可将本步骤中的退火在形成覆盖层之前,形成金属层之后进行。It should be noted that the annealing in this step can also be performed before forming the covering layer and after forming the metal layer.

之后,执行步骤十五,形成导电层填充所述第一接触孔开口、第二接触孔开口、第三接触孔开口和第四接触孔开口,以分别形成第一接触孔、第二接触孔、第三接触孔和第四接触孔。Afterwards, step fifteen is performed, forming a conductive layer to fill the first contact hole opening, the second contact hole opening, the third contact hole opening and the fourth contact hole opening, so as to respectively form the first contact hole, the second contact hole, the a third contact hole and a fourth contact hole.

具体地,继续如图1G所示,形成导电层113填充所述第一接触孔开口、第二接触孔开口、第三接触孔开口和第四接触孔开口并进行平坦化,以分别形成第一接触孔、第二接触孔、第三接触孔和第四接触孔。Specifically, as shown in FIG. 1G, a conductive layer 113 is formed to fill the opening of the first contact hole, the opening of the second contact hole, the opening of the third contact hole and the opening of the fourth contact hole and planarized to form the first contact hole opening, respectively. A contact hole, a second contact hole, a third contact hole, and a fourth contact hole.

导电材料可通过低压化学气相沉积(LPCVD)、等离子体辅助化学气相沉积(PECVD)、金属有机化学气相沉积(MOCVD)及原子层沉积(ALD)或其它先进的沉积技术形成。Conductive materials can be formed by low pressure chemical vapor deposition (LPCVD), plasma assisted chemical vapor deposition (PECVD), metal organic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD) or other advanced deposition techniques.

其中,导电层可以为本领域技术人员熟知的任何适合的导电材料,包括但不限金属材料。较佳地,导电层为钨材料。在另一实施例中,导电层可为钴(Co)、钼(Mo)、氮化钛(TiN)以及含有钨的导电材料或其组合。Wherein, the conductive layer may be any suitable conductive material known to those skilled in the art, including but not limited to metal materials. Preferably, the conductive layer is made of tungsten material. In another embodiment, the conductive layer may be cobalt (Co), molybdenum (Mo), titanium nitride (TiN), and conductive materials containing tungsten, or a combination thereof.

所述平坦化处理的非限制性实例包括机械平坦化方法和化学机械抛光平坦化方法。所述平坦化停止于所述第二层间介电层1092的表面上。Non-limiting examples of the planarization process include a mechanical planarization method and a chemical mechanical polishing planarization method. The planarization stops on the surface of the second ILD layer 1092 .

所述第一接触孔结构与所述PMOS器件区内的源/漏区电连接,所述第二接触孔结构与所述NMOS器件区内的源/漏区电连接,所述第三接触孔结构电连接所述第一栅极结构,所述第四接触孔结构电连接所述第二栅极结构。The first contact hole structure is electrically connected to the source/drain region in the PMOS device region, the second contact hole structure is electrically connected to the source/drain region in the NMOS device region, and the third contact hole The structure is electrically connected to the first gate structure, and the fourth contact hole structure is electrically connected to the second gate structure.

至此完成了对本发明的半导体器件的制造方法的关键步骤的介绍,对于完整的器件的制备还需其他的步骤,在此不做一一赘述。So far, the introduction of the key steps of the manufacturing method of the semiconductor device of the present invention is completed, and other steps are required for the preparation of a complete device, which will not be repeated here.

综上所述,本发明的制造方法,在所述PMOS器件区的半导体衬底的第一源区和第一漏区的表面上均形成第一外延覆盖层,其中,在所述第一外延覆盖层中掺杂有第一掺杂杂质(例如,Sb和/或N),在所述第一外延覆盖层的表面形成金属层,至少部分所述第一外延覆盖层与所述金属层反应形成第一金属硅化物,其中,所述第一掺杂杂质分凝到所述第一金属硅化物和其所接触的半导体材料之间的界面处,并且在界面处捕捉所述P型掺杂杂质,进而形成掺杂剂分离肖特基(Dopant segregated Schottky,简称DSS),从而降低了肖特基势垒高度(SBH),降低了源极/漏极区域的接触电阻(Rc),使得晶体管的外部寄生电阻也相应降低,掺杂的第一掺杂杂质还可以阻止第一外延覆盖层中的P型掺杂杂质向外扩散;In summary, in the manufacturing method of the present invention, a first epitaxial covering layer is formed on the surfaces of the first source region and the first drain region of the semiconductor substrate in the PMOS device region, wherein, in the first epitaxial The covering layer is doped with a first doping impurity (for example, Sb and/or N), a metal layer is formed on the surface of the first epitaxial covering layer, and at least part of the first epitaxial covering layer reacts with the metal layer forming a first metal silicide, wherein the first dopant impurity segregates to the interface between the first metal silicide and the semiconductor material it contacts, and captures the P-type dopant at the interface Impurities, and then form dopant segregated Schottky (DSS for short), thereby reducing the Schottky barrier height (SBH), reducing the contact resistance (Rc) of the source/drain region, making the transistor The external parasitic resistance of is also correspondingly reduced, and the doped first dopant impurity can also prevent the outward diffusion of the P-type dopant impurity in the first epitaxial cladding layer;

另外,在NMOS器件区的半导体衬底的第二源区和第二漏区的表面上均形成第二外延覆盖层,其中,在所述第二外延覆盖层中掺杂有第二掺杂杂质(例如,Ga和/或C),在所述第二外延覆盖层的表面形成金属层,至少部分所述第二外延覆盖层与所述金属层反应形成第二金属硅化物,其中,所述第二掺杂杂质分凝到所述第一金属硅化物和其所接触的半导体材料之间的界面处,并且在界面处捕捉所述N型掺杂杂质,进而形成掺杂剂分离肖特基(Dopant segregated Schottky,简称DSS),从而降低了肖特基势垒高度(SBH),降低了源极/漏极区域的接触电阻(Rc),使得晶体管的外部寄生电阻也相应降低,掺杂的第二掺杂杂质还可以阻止第二外延覆盖层中的N型掺杂杂质向外扩散。因此,本发明的方法能够提高器件的性能。In addition, a second epitaxial covering layer is formed on the surface of the second source region and the second drain region of the semiconductor substrate in the NMOS device region, wherein the second epitaxial covering layer is doped with a second impurity (for example, Ga and/or C), forming a metal layer on the surface of the second epitaxial covering layer, at least part of the second epitaxial covering layer reacts with the metal layer to form a second metal silicide, wherein the The second dopant impurity condenses to the interface between the first metal silicide and the semiconductor material it contacts, and captures the N-type dopant impurity at the interface, thereby forming a dopant separation Schottky (Dopant segregated Schottky, DSS for short), thereby reducing the Schottky barrier height (SBH), reducing the contact resistance (Rc) of the source/drain region, so that the external parasitic resistance of the transistor is also reduced accordingly, and the doped The second dopant impurity can also prevent the N-type dopant impurity in the second epitaxial covering layer from diffusing out. Therefore, the method of the present invention can improve the performance of the device.

实施例二Embodiment two

本发明还提供一种半导体器件,所述半导体器件由前述的实施例一中的制造方法制备获得。The present invention also provides a semiconductor device, which is prepared by the manufacturing method in the first embodiment above.

下面参考图1G对本发明的半导体器件的结构做详细描述。其中,本实施例中主要以FinFET器件为例。The structure of the semiconductor device of the present invention will be described in detail below with reference to FIG. 1G. Wherein, in this embodiment, a FinFET device is mainly taken as an example.

具体地,如图1G所示,本发明的半导体器件包括:半导体衬底100,所述半导体衬底包括MOS器件区。Specifically, as shown in FIG. 1G , the semiconductor device of the present invention includes: a semiconductor substrate 100 including a MOS device region.

在一个示例中,所述MOS器件区包括PMOS器件区、NMOS器件区中的至少一个。In one example, the MOS device area includes at least one of a PMOS device area and an NMOS device area.

本实施例中主要以所述半导体衬底包括PMOS器件区和NMOS器件区的情况对本发明进行说明。In this embodiment, the present invention will be described mainly in the case that the semiconductor substrate includes a PMOS device region and an NMOS device region.

其中,半导体衬底100为体硅衬底,其可以是以下所提到的材料中的至少一种:Si、Ge、SiGe、SiC、SiGeC、InAs、GaAs、InP、InGaAs或者其它III/V化合物半导体,还包括这些半导体构成的多层结构等,或者为绝缘体上硅(SOI)、绝缘体上层叠硅(SSOI)、绝缘体上层叠锗化硅(S-SiGeOI)、绝缘体上锗化硅(SiGeOI)以及绝缘体上锗(GeOI)等。Wherein, the semiconductor substrate 100 is a bulk silicon substrate, which may be at least one of the materials mentioned below: Si, Ge, SiGe, SiC, SiGeC, InAs, GaAs, InP, InGaAs or other III/V compounds Semiconductors, including multilayer structures composed of these semiconductors, or silicon-on-insulator (SOI), silicon-on-insulator (SSOI), silicon-germanium-on-insulator (S-SiGeOI), silicon-germanium-on-insulator (SiGeOI) And germanium on insulator (GeOI) and so on.

在一个示例中,在所述PMOS器件区中的半导体衬底100上设置有第一栅极结构,在所述NMOS器件区中的半导体衬底100上设置有第二栅极结构。In one example, a first gate structure is disposed on the semiconductor substrate 100 in the PMOS device region, and a second gate structure is disposed on the semiconductor substrate 100 in the NMOS device region.

示例性地,本发明的半导体器件为FinFET器件,在每个所述PMOS器件区内的半导体衬底上形成有第一鳍片结构1011,则在所述NMOS器件区内的半导体衬底上形成有第二鳍片结构1012,所述第一栅极结构横跨所述第一鳍片结构,第二栅极结构横跨所述第二鳍片结构。Exemplarily, the semiconductor device of the present invention is a FinFET device, a first fin structure 1011 is formed on the semiconductor substrate in each of the PMOS device regions, and a fin structure 1011 is formed on the semiconductor substrate in the NMOS device region. There is a second fin structure 1012 across which the first gate structure spans and a second gate structure straddles the second fin structure.

示例性地,第一栅极结构为第一金属栅极结构1101,其包括形成在所述PMOS器件区内的栅极沟槽底部的界面层,依次形成在栅极沟槽的底部和侧壁上并位于所述界面层上方的高k介电层、第一扩散阻挡层、P型功函数层、N型功函数和第二扩散阻挡层,以及填充所述栅极沟槽的栅电极层。Exemplarily, the first gate structure is a first metal gate structure 1101, which includes an interface layer formed at the bottom of the gate trench in the PMOS device region, and is sequentially formed on the bottom and sidewall of the gate trench A high-k dielectric layer, a first diffusion barrier layer, a P-type work function layer, an N-type work function layer, and a second diffusion barrier layer on and above the interface layer, and a gate electrode layer filling the gate trench .

示例性地,第二栅极结构为第二金属栅极结构1102,其包括形成在所述NMOS器件区内的栅极沟槽底部的界面层,依次形成在栅极沟槽的底部和侧壁上并位于所述界面层上方的高k介电层、第一扩散阻挡层、N型功函数和第二扩散阻挡层,以及填充所述栅极沟槽的栅电极层。Exemplarily, the second gate structure is a second metal gate structure 1102, which includes an interface layer formed at the bottom of the gate trench in the NMOS device region, and is sequentially formed on the bottom and sidewall of the gate trench A high-k dielectric layer on and above the interface layer, a first diffusion barrier layer, an N-type work function and a second diffusion barrier layer, and a gate electrode layer filling the gate trench.

其中,可以使用本领域技术人员熟知的任何适合的方法形成所述第一金属栅极结构和第二金属栅极结构,在此不做一一赘述。Wherein, the first metal gate structure and the second metal gate structure may be formed using any suitable method known to those skilled in the art, and details will not be repeated here.

值得一提的是,本发明的所述第一栅极结构和第二栅极结构还可以为其他类型的栅极结构,例如栅极结构包括自下而上依次层叠的栅极介电层和栅极层,栅极介电层可以为氧化硅等介电材料,栅极层可以为多晶硅等材料。It is worth mentioning that the first gate structure and the second gate structure of the present invention can also be other types of gate structures, for example, the gate structure includes gate dielectric layers and For the gate layer, the gate dielectric layer may be a dielectric material such as silicon oxide, and the gate layer may be a material such as polysilicon.

示例性地,所述PMOS器件区内的第一栅极结构下方的沟道材料包括元素半导体,其中,元素半导体材料可以为本领域技术人员熟知的任何使用的元素半导体,包括但不限于Ge或者Si或者SiGe,所述NMOS器件区内的第二栅极结构下方的沟道材料可以包括III-V族化合物半导体,例如,III-V族二元或者三元化合物半导体,本实施例中,所述III-V族化合物半导体为InGaAs,本实施例中,所述元素半导体为Ge,使用III-V族化合物半导体作为NMOS器件的沟道,而使用元素半导体作为PMOS器件的沟道,可以提高载流子迁移率。Exemplarily, the channel material under the first gate structure in the PMOS device region includes an elemental semiconductor, wherein the elemental semiconductor material can be any elemental semiconductor known to those skilled in the art, including but not limited to Ge or Si or SiGe, the channel material under the second gate structure in the NMOS device region may include III-V group compound semiconductors, for example, III-V group binary or ternary compound semiconductors, in this embodiment, the The III-V group compound semiconductor is InGaAs. In this embodiment, the elemental semiconductor is Ge, and the III-V group compound semiconductor is used as the channel of the NMOS device, and the elemental semiconductor is used as the channel of the PMOS device, which can improve the loading capacity. Flow rate.

在一个示例中,在所述第一栅极结构的侧壁上形成有第一间隙壁1061,在所述第二栅极结构的侧壁上形成有第二间隙壁1062。In one example, a first spacer 1061 is formed on a sidewall of the first gate structure, and a second spacer 1062 is formed on a sidewall of the second gate structure.

在一个示例中,在所述第一栅极结构两侧所述PMOS器件区的半导体衬底中设置有第一源区和第一漏区,其中,在所述第一源区和第一漏区中掺杂有P型掺杂杂质,可选地,P型掺杂杂质包括硼。In one example, a first source region and a first drain region are provided in the semiconductor substrate of the PMOS device region on both sides of the first gate structure, wherein the first source region and the first drain region The region is doped with P-type doping impurities. Optionally, the P-type doping impurities include boron.

示例性地,在所述第一源区和所述第一漏区内形成第一应力层1031,所述P型掺杂杂质掺杂在所述第一应力层1031中。Exemplarily, a first stress layer 1031 is formed in the first source region and the first drain region, and the P-type impurity is doped in the first stress layer 1031 .

第一应力层1031的材料可以包括SiGe或其他可提供压应力的适合的材料。具体地,可采用化学气相沉积方法或者气体源分子束外延方法生长P型掺杂杂质原位掺杂的SiGe。The material of the first stress layer 1031 may include SiGe or other suitable materials that can provide compressive stress. Specifically, SiGe doped in-situ with P-type dopant impurities can be grown by chemical vapor deposition or gas source molecular beam epitaxy.

在PMOS内形成具有压应力的应力层,CMOS器件的性能可以通过将压应力作用于PMOS来提高。A stress layer with compressive stress is formed in the PMOS, and the performance of the CMOS device can be improved by applying compressive stress to the PMOS.

进一步地,所述第一应力层1031形成于所述第一鳍片结构中,并且所述第一应力层1031的顶面高于所述第一鳍片结构的顶面。Further, the first stress layer 1031 is formed in the first fin structure, and the top surface of the first stress layer 1031 is higher than the top surface of the first fin structure.

进一步地,在所述第一源区和第一漏区的表面上均形成有第一外延覆盖层107,其中,在所述第一外延覆盖层107中掺杂有第一掺杂杂质。Further, a first epitaxial covering layer 107 is formed on the surfaces of the first source region and the first drain region, wherein the first epitaxial covering layer 107 is doped with first doping impurities.

在一个示例中,在所述第一源区和第一漏区内形成有第一应力层1031,则所述第一外延覆盖层107形成于所述第一应力层1031的表面。In one example, a first stress layer 1031 is formed in the first source region and the first drain region, and the first epitaxial covering layer 107 is formed on the surface of the first stress layer 1031 .

其中,所述第一外延覆盖层107的材料可以为任何适合的含硅的半导体材料,包括但不限于SiGe、Si等。本实施例中,所述第一外延覆盖层107的材料较佳地为SiGe。Wherein, the material of the first epitaxial covering layer 107 may be any suitable silicon-containing semiconductor material, including but not limited to SiGe, Si and the like. In this embodiment, the material of the first epitaxial cladding layer 107 is preferably SiGe.

可选地,第一掺杂杂质包括Sb和/或N,本实施例中,较佳地,第一掺杂杂质包括Sb和N。Optionally, the first doping impurity includes Sb and/or N. In this embodiment, preferably, the first doping impurity includes Sb and N.

在一个示例中,使用外延生长工艺在所述第一应力层1031的表面外延生长形成所述第一掺杂杂质原位掺杂的所述第一外延覆盖层107。In one example, the first epitaxial cladding layer 107 doped in-situ with the first dopant impurity is formed by epitaxial growth on the surface of the first stress layer 1031 by using an epitaxial growth process.

可选地,第一外延覆盖层107的厚度可以根据实际工艺需要进行合理选择,例如,第一外延覆盖层107的厚度范围可以为5埃~20埃,或者其他适合的厚度。Optionally, the thickness of the first epitaxial covering layer 107 may be reasonably selected according to actual process requirements. For example, the thickness of the first epitaxial covering layer 107 may range from 5 angstroms to 20 angstroms, or other suitable thicknesses.

进一步地,在所述第二栅极结构两侧所述NMOS器件区的半导体衬底中设置第二源区和第二漏区,在所述第二源区和所述第二漏区中掺杂有N型掺杂杂质,可选地,所述N型掺杂杂质包括磷或砷中的至少一种。Further, a second source region and a second drain region are set in the semiconductor substrate of the NMOS device region on both sides of the second gate structure, and doped in the second source region and the second drain region Doped with N-type dopant impurities, optionally, the N-type dopant impurities include at least one of phosphorus or arsenic.

在一个示例中,在所述第二源区和所述第二漏区内形成有第二应力层1032,所述N型掺杂杂质掺杂在所述第二应力层中。In one example, a second stress layer 1032 is formed in the second source region and the second drain region, and the N-type impurity is doped in the second stress layer.

在NMOS器件区中,第二应力层1032通常具有拉应力。第二应力层1032的材料可以为SiP、SiC或其他可提供拉应力的适合的材料。本实施例中,较佳地选择SiP作为第二应力层1032。In the NMOS device region, the second stress layer 1032 generally has tensile stress. The material of the second stress layer 1032 can be SiP, SiC or other suitable materials that can provide tensile stress. In this embodiment, SiP is preferably selected as the second stress layer 1032 .

进一步地,可采用化学气相沉积方法或者气体源分子束外延方法生长SiP,用硅烷或者乙硅烷作为硅源,磷烷作为磷源,进而形成磷原位掺杂的Si外延层。Further, SiP can be grown by chemical vapor deposition or gas source molecular beam epitaxy, using silane or disilane as the silicon source, and phosphine as the phosphorus source, thereby forming a phosphorus in-situ doped Si epitaxial layer.

进一步地,所述第二应力层1032形成于所述第二鳍片结构中,并且所述第二应力层1032的顶面高于所述第二鳍片结构的顶面。Further, the second stress layer 1032 is formed in the second fin structure, and the top surface of the second stress layer 1032 is higher than the top surface of the second fin structure.

示例性地,在所述第二源区和所述第二漏区的表面上形成有第二外延覆盖层108,其中,在所述第二外延覆盖层108中掺杂有第二掺杂杂质。Exemplarily, a second epitaxial covering layer 108 is formed on the surfaces of the second source region and the second drain region, wherein the second epitaxial covering layer 108 is doped with second doping impurities .

在一个示例中,在所述第二源区和第二漏区内形成有第二应力层1032,则所述第二外延覆盖层108形成于所述第二应力层1032的表面上。In one example, a second stress layer 1032 is formed in the second source region and the second drain region, and the second epitaxial covering layer 108 is formed on the surface of the second stress layer 1032 .

其中,所述第二外延覆盖层108的材料可以为任何适合的含硅的半导体材料,包括但不限于SiGe、Si等。本实施例中,所述第二外延覆盖层108的材料较佳地为SiGe。Wherein, the material of the second epitaxial cladding layer 108 may be any suitable silicon-containing semiconductor material, including but not limited to SiGe, Si and the like. In this embodiment, the material of the second epitaxial cladding layer 108 is preferably SiGe.

可选地,第二掺杂杂质包括Ga和/或C,本实施例中,较佳地,第二掺杂杂质包括Ga和C。Optionally, the second dopant impurity includes Ga and/or C. In this embodiment, preferably, the second dopant impurity includes Ga and C.

在一个示例中,使用外延生长工艺在所述第二应力层1032的表面外延生长形成所述第二掺杂杂质原位掺杂的所述第二外延覆盖层108。In one example, the second epitaxial cladding layer 108 doped in-situ with the second dopant impurity is formed by epitaxial growth on the surface of the second stress layer 1032 by using an epitaxial growth process.

可选地,第二外延覆盖层108的厚度可以根据实际工艺需要进行合理选择,例如,第二外延覆盖层108的厚度范围可以为5埃~20埃,或者其他适合的厚度。Optionally, the thickness of the second epitaxial covering layer 108 may be reasonably selected according to actual process requirements, for example, the thickness of the second epitaxial covering layer 108 may range from 5 angstroms to 20 angstroms, or other suitable thicknesses.

进一步地,在所述PMOS器件区,在所述第一间隙壁1061的侧面上以及部分所述第一外延覆盖层107的表面上和第一鳍片结构露出的表面上以及部分隔离结构的表面上形成有第二间隙壁材料层106n。Further, in the PMOS device region, on the side of the first spacer 1061 and part of the surface of the first epitaxial cover layer 107 and the exposed surface of the first fin structure and part of the surface of the isolation structure A second spacer material layer 106n is formed thereon.

在一个示例中,在所述NMOS器件区,在所述第二鳍片结构1012的部分表面上以及部分隔离结构的表面上自下而上形成有第一间隙壁材料层和第二间隙壁材料层。In one example, in the NMOS device region, a first spacer material layer and a second spacer material layer are formed from bottom to top on a part of the surface of the second fin structure 1012 and a part of the surface of the isolation structure. Floor.

在一个示例中,在所述半导体衬底上形成第一层间介电层1091,所述第一层间介电层的顶面与所述第一栅极结构和所述第二栅极结构的顶面齐平。In one example, a first interlayer dielectric layer 1091 is formed on the semiconductor substrate, the top surface of the first interlayer dielectric layer is in contact with the first gate structure and the second gate structure flush with the top.

在一个示例中,在所述第一层间介电层以及所述第一栅极结构和所述第二栅极结构的表面上形成第二层间介电层。In one example, a second interlayer dielectric layer is formed on surfaces of the first interlayer dielectric layer and the first gate structure and the second gate structure.

具体地,所述第二层间介电层1092覆盖所述第一层间介电层1091以及所述第一金属栅极结构1101和所述第二金属栅极结构1102的表面。Specifically, the second interlayer dielectric layer 1092 covers the surfaces of the first interlayer dielectric layer 1091 and the first metal gate structure 1101 and the second metal gate structure 1102 .

所述第二层间介电层1092可为氧化硅层,包括利用热化学气相沉积(thermalCVD)制造工艺或高密度等离子体(HDP)制造工艺形成的有掺杂或未掺杂的氧化硅的材料层,例如未经掺杂的硅玻璃(USG)、磷硅玻璃(PSG)或硼磷硅玻璃(BPSG)。此外,层间介电层也可以是掺杂硼或掺杂磷的自旋涂布式玻璃(spin-on-glass,SOG)、掺杂磷的四乙氧基硅烷(PTEOS)或掺杂硼的四乙氧基硅烷(BTEOS)。The second interlayer dielectric layer 1092 may be a silicon oxide layer, including doped or undoped silicon oxide formed by a thermal chemical vapor deposition (thermalCVD) manufacturing process or a high density plasma (HDP) manufacturing process. Layers of material such as undoped silica glass (USG), phosphosilicate glass (PSG) or borophosphosilicate glass (BPSG). In addition, the interlayer dielectric layer can also be boron-doped or phosphorus-doped spin-on-glass (SOG), phosphorus-doped tetraethoxysilane (PTEOS) or boron-doped Tetraethoxysilane (BTEOS).

所述第二层间介电层1092的厚度可以为任意适合的数值,在此不做具体限定,第二层间介电层1092的顶面高于第一金属栅极结构1101和所述第二金属栅极结构1102的顶面。The thickness of the second interlayer dielectric layer 1092 can be any suitable value, which is not specifically limited here. The top surface of the second interlayer dielectric layer 1092 is higher than the first metal gate structure 1101 and the first metal gate structure 1101 The top surface of the two metal gate structure 1102 .

在一个示例中,在所述第二层间介电层1092和所述第一层间介电层1091中形成第一接触孔开口,所述第一接触孔开口露出所述第一外延覆盖层107的表面,在所述第二层间介电层1092和所述第一层间介电层1091中形成第二接触孔开口,所述第二接触孔开口露出所述第二外延覆盖层108的表面。In one example, a first contact hole opening is formed in the second interlayer dielectric layer 1092 and the first interlayer dielectric layer 1091, and the first contact hole opening exposes the first epitaxial capping layer. 107, a second contact hole opening is formed in the second interlayer dielectric layer 1092 and the first interlayer dielectric layer 1091, and the second contact hole opening exposes the second epitaxial covering layer 108 s surface.

进一步地,所述第一接触孔开口的底部位于所述外延覆盖层107中,所述第二接触孔开口的底部位于所述第二外延覆盖层108中。Further, the bottom of the opening of the first contact hole is located in the epitaxial covering layer 107 , and the bottom of the opening of the second contact hole is located in the second epitaxial covering layer 108 .

进一步地,在所述第二层间介电层1092中形成第三接触孔开口和第四接触孔开口,其中,所述第三接触孔开口露出所述第一金属栅极结构1101的顶面,所述第四接触孔开口露出所述第二金属栅极结构1102的顶面。Further, a third contact hole opening and a fourth contact hole opening are formed in the second interlayer dielectric layer 1092, wherein the third contact hole opening exposes the top surface of the first metal gate structure 1101 , the opening of the fourth contact hole exposes the top surface of the second metal gate structure 1102 .

进一步,在所述第一接触孔开口、所述第二接触孔开口、第三接触孔开口和第四接触孔开口的底部和侧壁上形成有金属层112。Further, a metal layer 112 is formed on the bottoms and sidewalls of the first contact hole opening, the second contact hole opening, the third contact hole opening and the fourth contact hole opening.

其中,金属层的材料可以使用钛(Ti)、含镍(nickel)、钴(cobalt)及铂(platinum)或其组合的材料,本实施例中,较佳地使用金属层的材料为Ti。The material of the metal layer may be titanium (Ti), nickel, cobalt, platinum or a combination thereof. In this embodiment, Ti is preferably used as the material of the metal layer.

进一步,在所述金属层112上形成覆盖层(未示出)。Further, a cover layer (not shown) is formed on the metal layer 112 .

覆盖层材料为金属或金属化合物层的材质例如钽、氮化钽、钛、氮化钛、氮化锆、氮化钛锆、钨、氮化钨、其合金或其组成物。此外,覆盖层亦可能包括多个膜层,本实施例中,所述覆盖层包括TiN层。The material of the covering layer is metal or metal compound layer material such as tantalum, tantalum nitride, titanium, titanium nitride, zirconium nitride, titanium zirconium nitride, tungsten, tungsten nitride, alloys or compositions thereof. In addition, the covering layer may also include multiple film layers. In this embodiment, the covering layer includes a TiN layer.

进一步地,金属层112通过退火与接触的第一外延覆盖层和第二外延覆盖层发生反应,进而形成第一金属硅化物和第二金属硅化物(例如,TiSi)。Further, the metal layer 112 reacts with the contacted first epitaxial covering layer and the second epitaxial covering layer through annealing, thereby forming a first metal silicide and a second metal silicide (for example, TiSi).

进一步地,在所述第一外延覆盖层107的表面形成有第一金属硅化物,其中,所述第一掺杂杂质分凝到所述第一金属硅化物和其所接触的半导体材料之间的界面处。Further, a first metal silicide is formed on the surface of the first epitaxial covering layer 107, wherein the first dopant impurity condenses between the first metal silicide and the semiconductor material it contacts at the interface.

在一个示例中,第一金属硅化物包围所述第一接触孔开口的底部,并且第一金属硅化物的底部位于第一外延覆盖层107中并高于所述半导体衬底的表面。In one example, the first metal silicide surrounds the bottom of the opening of the first contact hole, and the bottom of the first metal silicide is located in the first epitaxial capping layer 107 and higher than the surface of the semiconductor substrate.

在一个示例中,第一金属硅化物包围所述第二接触孔开口的底部,并且第一金属硅化物的底部位于第二外延覆盖层108中并高于所述半导体衬底的表面。In one example, the first metal silicide surrounds the bottom of the opening of the second contact hole, and the bottom of the first metal silicide is located in the second epitaxial capping layer 108 and higher than the surface of the semiconductor substrate.

同时该退火使所述第一掺杂杂质(Sb和N)分凝到所述第一金属硅化物和其所接触的半导体材料之间的界面处,并且在界面处捕捉所述P型掺杂杂质(例如,该P型掺杂杂质为第一应力层中原位掺杂的P型掺杂杂质),进而形成掺杂剂分离肖特基(Dopant segregatedSchottky,简称DSS),P型掺杂杂质最终位于界面处金属硅化物一侧,从而降低了肖特基势垒高度(SBH),降低了源极/漏极区域的接触电阻(Rc),使得晶体管的外部寄生电阻也相应降低,掺杂的第一掺杂杂质还可以阻止第一外延覆盖层中的P型掺杂杂质向外扩散(例如,向第一应力层中扩散)。At the same time, the annealing causes the first dopant impurities (Sb and N) to segregate to the interface between the first metal silicide and the semiconductor material it contacts, and captures the P-type dopant at the interface. impurities (for example, the P-type dopant impurity is the P-type dopant impurity doped in-situ in the first stress layer), and then forms a dopant segregated Schottky (DSS for short), and the P-type dopant impurity finally Located on the side of the metal silicide at the interface, thereby reducing the Schottky barrier height (SBH), reducing the contact resistance (Rc) of the source/drain region, so that the external parasitic resistance of the transistor is also reduced accordingly, and the doped The first dopant impurity can also prevent the P-type dopant impurity in the first epitaxial cladding layer from diffusing out (for example, diffusing into the first stress layer).

在一个示例中,整个厚度的所述第一外延覆盖层与所述金属层反应形成为所述第一金属硅化物,所述第一掺杂杂质分凝到所述第一金属硅化物和所述第一源区以及所述第一金属硅化物和所述第一漏区之间的界面处,例如,所述第一掺杂杂质分凝到所述第一金属硅化物和所述第一应力层的界面处。In one example, the entire thickness of the first epitaxial cladding layer reacts with the metal layer to form the first metal silicide, and the first dopant impurity is segregated into the first metal silicide and the first metal silicide. At the interface between the first source region and the first metal silicide and the first drain region, for example, the first dopant impurity is condensed to the first metal silicide and the first drain region. interface of the stress layer.

在一个示例中,所述第一外延覆盖层的表面部分与所述金属层反应形成为所述第一金属硅化物,所述第一掺杂杂质分凝到所述第一金属硅化物和所述第一外延覆盖层之间的界面处。In one example, the surface portion of the first epitaxial cladding layer reacts with the metal layer to form the first metal silicide, and the first dopant impurity is segregated into the first metal silicide and the first metal silicide. at the interface between the first epitaxial cladding layers.

在一个示例中,在所述第二外延覆盖层的表面形成有第二金属硅化物,其中,所述第二掺杂杂质分凝到所述第二金属硅化物和其所述接触的半导体材料之间的界面处,并且捕捉所述N型掺杂杂质。In one example, a second metal silicide is formed on the surface of the second epitaxial cladding layer, wherein the second dopant impurity is segregated to the second metal silicide and the semiconductor material in contact with it at the interface between them, and capture the N-type dopant impurities.

进一步地,同时该退火步骤还使所述第二掺杂杂质(Ga和/或C)分凝到所述第二金属硅化物和其所接触的半导体材料之间的界面处,并且在界面处捕捉所述N型掺杂杂质(例如,该N型掺杂杂质为第二应力层中原位掺杂的N型掺杂杂质),进而形成掺杂剂分离肖特基(Dopant segregated Schottky,简称DSS),N型掺杂杂质最终位于界面处金属硅化物一侧,从而降低了肖特基势垒高度(SBH),降低了源极/漏极区域的接触电阻(Rc),使得晶体管的外部寄生电阻也相应降低,掺杂的第二掺杂杂质还可以阻止第二外延覆盖层中的N型掺杂杂质向外扩散(例如,向第二应力层中扩散)。Further, at the same time, the annealing step also causes the second dopant impurity (Ga and/or C) to segregate to the interface between the second metal silicide and the semiconductor material it contacts, and at the interface Capture the N-type dopant impurity (for example, the N-type dopant impurity is the N-type dopant impurity doped in-situ in the second stress layer), and then form a dopant segregated Schottky (DSS for short) ), N-type doping impurities are finally located on the side of the metal silicide at the interface, thereby reducing the Schottky barrier height (SBH), reducing the contact resistance (Rc) of the source/drain region, and making the external parasitic of the transistor The resistance is correspondingly reduced, and the doped second dopant impurity can also prevent the N-type dopant impurity in the second epitaxial covering layer from diffusing out (for example, diffusing into the second stress layer).

在一个示例中,全部所述第二外延覆盖层与所述金属层反应形成为所述第二金属硅化物,所述第二掺杂杂质分凝到所述第二金属硅化物和所述第二源区以及所述第二金属硅化物和所述第二漏区之间的界面处,例如,所述第二掺杂杂质分凝到所述第二金属硅化物和所述第二应力层的界面处。In one example, all of the second epitaxial cladding layer reacts with the metal layer to form the second metal silicide, and the second dopant impurity is segregated into the second metal silicide and the first metal silicide. At the interface between the two source regions and the second metal silicide and the second drain region, for example, the second dopant impurity condenses to the second metal silicide and the second stress layer at the interface.

在一个示例中,所述第二外延覆盖层的表面部分与所述金属层反应形成为所述第二金属硅化物,所述第二掺杂杂质分凝到所述第二金属硅化物和所述第二外延覆盖层之间的界面处。In one example, the surface portion of the second epitaxial cladding layer reacts with the metal layer to form the second metal silicide, and the second dopant impurity is segregated to the second metal silicide and the second metal silicide. at the interface between the second epitaxial cladding layer.

在一个示例中,所述第一接触孔开口、第二接触孔开口、第三接触孔开口和第四接触孔开口填充有导电层113,以分别构成第一接触孔、第二接触孔、第三接触孔和第四接触孔。In one example, the first contact hole opening, the second contact hole opening, the third contact hole opening and the fourth contact hole opening are filled with a conductive layer 113 to form the first contact hole, the second contact hole, the second contact hole, respectively. three contact holes and a fourth contact hole.

所述第一接触孔与所述PMOS器件区内的源/漏区电连接,所述第二接触孔与所述NMOS器件区内的源/漏区电连接,所述第三接触孔电连接所述第一栅极结构,所述第四接触孔电连接所述第二栅极结构。The first contact hole is electrically connected to the source/drain region in the PMOS device region, the second contact hole is electrically connected to the source/drain region in the NMOS device region, and the third contact hole is electrically connected to The first gate structure and the fourth contact hole are electrically connected to the second gate structure.

至此完成了对本发明的半导体器件的关键结构的介绍,对于完整的器件还可能包括其他的构件,在此不做一一赘述。So far, the introduction of the key structure of the semiconductor device of the present invention is completed, and the complete device may also include other components, which will not be repeated here.

本发明的半导体器件,由于采用了上述制造方法,因而同样具有上述优点。The semiconductor device of the present invention also has the above-mentioned advantages due to the adoption of the above-mentioned manufacturing method.

本发明的半导体器件具有低的肖特基势垒高度,低的接触电阻,因此其外部寄生电容也更低,具有更高的器件性能。The semiconductor device of the invention has low Schottky barrier height and low contact resistance, so its external parasitic capacitance is also lower and has higher device performance.

实施例三Embodiment Three

本发明还提供了一种电子装置,包括实施例二所述的半导体器件,所述半导体器件根据实施例一所述方法制备得到。The present invention also provides an electronic device, including the semiconductor device described in Embodiment 2, and the semiconductor device is prepared according to the method described in Embodiment 1.

本实施例的电子装置,可以是手机、平板电脑、笔记本电脑、上网本、游戏机、电视机、VCD、DVD、导航仪、数码相框、照相机、摄像机、录音笔、MP3、MP4、PSP等任何电子产品或设备,也可为任何包括电路的中间产品。本发明实施例的电子装置,由于使用了上述的半导体器件,因而具有更好的性能。The electronic device of this embodiment can be any electronic device such as a mobile phone, a tablet computer, a notebook computer, a netbook, a game console, a TV set, a VCD, a DVD, a navigator, a digital photo frame, a camera, a video camera, a recording pen, MP3, MP4, PSP, etc. Product or equipment, but also any intermediate product including electrical circuits. The electronic device according to the embodiment of the present invention has better performance due to the use of the above-mentioned semiconductor device.

其中,图3示出移动电话手机的示例。移动电话手机300被设置有包括在外壳301中的显示部分302、操作按钮303、外部连接端口304、扬声器305、话筒306等。Among them, FIG. 3 shows an example of a mobile phone handset. The mobile phone handset 300 is provided with a display portion 302 included in a housing 301, operation buttons 303, an external connection port 304, a speaker 305, a microphone 306, and the like.

其中所述移动电话手机包括实施例二所述的半导体器件,所述半导体器件包括:Wherein the mobile phone includes the semiconductor device described in Embodiment 2, and the semiconductor device includes:

半导体衬底,所述半导体衬底包括MOS器件区,在所述MOS器件区的半导体衬底中设置有源区和漏区;a semiconductor substrate, the semiconductor substrate includes a MOS device region, and an active region and a drain region are arranged in the semiconductor substrate of the MOS device region;

在所述源区和所述漏区的表面上均形成有外延覆盖层,其中,在所述外延覆盖层中掺杂有掺杂杂质;An epitaxial covering layer is formed on the surfaces of the source region and the drain region, wherein doping impurities are doped in the epitaxial covering layer;

在所述外延覆盖层的表面形成有金属硅化物,其中,所述掺杂杂质分凝到所述金属硅化物和所述金属硅化物所接触的半导体材料之间的界面处。A metal silicide is formed on the surface of the epitaxial covering layer, wherein the dopant impurity is segregated to the interface between the metal silicide and the semiconductor material that the metal silicide contacts.

本发明已经通过上述实施例进行了说明,但应当理解的是,上述实施例只是用于举例和说明的目的,而非意在将本发明限制于所描述的实施例范围内。此外本领域技术人员可以理解的是,本发明并不局限于上述实施例,根据本发明的教导还可以做出更多种的变型和修改,这些变型和修改均落在本发明所要求保护的范围以内。本发明的保护范围由附属的权利要求书及其等效范围所界定。The present invention has been described through the above-mentioned embodiments, but it should be understood that the above-mentioned embodiments are only for the purpose of illustration and description, and are not intended to limit the present invention to the scope of the described embodiments. In addition, those skilled in the art can understand that the present invention is not limited to the above-mentioned embodiments, and more variations and modifications can be made according to the teachings of the present invention, and these variations and modifications all fall within the claimed scope of the present invention. within the range. The protection scope of the present invention is defined by the appended claims and their equivalent scope.

Claims (12)

1.一种半导体器件的制造方法,其特征在于,所述方法包括:1. A method for manufacturing a semiconductor device, characterized in that the method comprises: 提供半导体衬底,所述半导体衬底包括MOS器件区,在所述MOS器件区的所述半导体衬底中设置有源区和漏区;A semiconductor substrate is provided, the semiconductor substrate includes a MOS device region, and an active region and a drain region are arranged in the semiconductor substrate of the MOS device region; 在所述源区和所述漏区的表面上均形成外延覆盖层,其中,在所述外延覆盖层中掺杂有掺杂杂质;forming an epitaxial covering layer on the surfaces of both the source region and the drain region, wherein doping impurities are doped in the epitaxial covering layer; 在所述外延覆盖层的表面形成金属层;forming a metal layer on the surface of the epitaxial covering layer; 将至少部分所述外延覆盖层与所述金属层反应形成金属硅化物,其中,所述掺杂杂质分凝到所述金属硅化物和所述金属硅化物所接触的半导体材料之间的界面处。reacting at least part of the epitaxial capping layer with the metal layer to form a metal silicide, wherein the dopant impurity is segregated to an interface between the metal silicide and a semiconductor material with which the metal silicide contacts . 2.如权利要求1所述的制造方法,其特征在于,所述MOS器件区包括PMOS器件区、NMOS器件区中的至少一个;2. The manufacturing method according to claim 1, wherein the MOS device region comprises at least one of a PMOS device region and an NMOS device region; 所述PMOS器件区的外延覆盖层内的掺杂杂质包括Sb和/或N;The doping impurities in the epitaxial covering layer of the PMOS device region include Sb and/or N; 所述NMOS器件区的外延覆盖层内的掺杂杂质包括Ga和/或C。The doping impurities in the epitaxial covering layer of the NMOS device region include Ga and/or C. 3.如权利要求1所述的制造方法,其特征在于,将整个厚度的所述外延覆盖层与所述金属层反应形成为所述金属硅化物,所述掺杂杂质分凝到所述金属硅化物和所述源区以及所述金属硅化物和所述漏区之间的界面处;或者,3. The manufacturing method according to claim 1, characterized in that, the entire thickness of the epitaxial covering layer is reacted with the metal layer to form the metal silicide, and the dopant impurity is segregated into the metal at the interface between the silicide and the source region and the metal silicide and the drain region; or, 所述外延覆盖层的表面部分与所述金属层反应形成为所述金属硅化物,所述掺杂杂质分凝到所述金属硅化物和所述外延覆盖层之间的界面处。The surface portion of the epitaxial covering layer reacts with the metal layer to form the metal silicide, and the dopant impurity is segregated to the interface between the metal silicide and the epitaxial covering layer. 4.如权利要求1至3任一项所述的制造方法,其特征在于,在形成所述外延覆盖层之前,还包括以下步骤:在所述源区和所述漏区内形成应力层,所述外延覆盖层形成在所述应力层的表面上。4. The manufacturing method according to any one of claims 1 to 3, characterized in that, before forming the epitaxial covering layer, further comprising the following steps: forming a stress layer in the source region and the drain region, The epitaxial capping layer is formed on the surface of the stressor layer. 5.如权利要求4所述的制造方法,其特征在于,形成所述应力层的方法包括:5. The manufacturing method according to claim 4, wherein the method for forming the stress layer comprises: 蚀刻部分所述半导体衬底,以在所述源区和所述漏区内形成凹槽;etching a portion of the semiconductor substrate to form grooves in the source region and the drain region; 在所述凹槽中外延生长形成原位掺杂的所述应力层。The stress layer is in-situ doped by epitaxial growth in the groove. 6.如权利要求2所述的制造方法,其特征在于,所述MOS器件区包括PMOS器件区和NMOS器件区,在形成所述PMOS器件区的外延覆盖层之前,或者,在形成所述PMOS器件区的外延覆盖层之后、形成所述PMOS器件区的金属层之前,在所述NMOS器件区的源区和所述漏区的表面上形成所述外延覆盖层。6. The manufacturing method according to claim 2, wherein the MOS device region comprises a PMOS device region and an NMOS device region, before forming the epitaxial covering layer of the PMOS device region, or, after forming the PMOS After the epitaxial covering layer of the device region and before forming the metal layer of the PMOS device region, the epitaxial covering layer is formed on the surfaces of the source region and the drain region of the NMOS device region. 7.如权利要求1所述的制造方法,其特征在于,通过退火处理使所述外延覆盖层与所述金属层反应形成所述金属硅化物。7. The manufacturing method according to claim 1, wherein the metal silicide is formed by reacting the epitaxial covering layer and the metal layer by annealing. 8.一种半导体器件,其特征在于,包括:8. A semiconductor device, characterized in that it comprises: 半导体衬底,所述半导体衬底包括MOS器件区,在所述MOS器件区的半导体衬底中设置有源区和漏区;a semiconductor substrate, the semiconductor substrate includes a MOS device region, and an active region and a drain region are arranged in the semiconductor substrate of the MOS device region; 在所述源区和所述漏区的表面上均形成有外延覆盖层,其中,在所述外延覆盖层中掺杂有掺杂杂质;An epitaxial covering layer is formed on the surfaces of the source region and the drain region, wherein doping impurities are doped in the epitaxial covering layer; 在所述外延覆盖层的表面形成有金属硅化物,其中,所述掺杂杂质分凝到所述金属硅化物和所述金属硅化物所接触的半导体材料之间的界面处。A metal silicide is formed on the surface of the epitaxial covering layer, wherein the dopant impurity is segregated to the interface between the metal silicide and the semiconductor material that the metal silicide contacts. 9.如权利要求8所述的半导体器件,其特征在于,所述MOS器件区包括PMOS器件区、NMOS器件区中的至少一个;9. The semiconductor device according to claim 8, wherein the MOS device region comprises at least one of a PMOS device region and an NMOS device region; 所述PMOS器件区的外延覆盖层内的所述掺杂杂质包括Sb和/或N;The doping impurities in the epitaxial cover layer of the PMOS device region include Sb and/or N; 所述NMOS器件区的外延覆盖层内的所述掺杂杂质包括Ga和/或C。The doping impurities in the epitaxial covering layer of the NMOS device region include Ga and/or C. 10.如权利要求8所述的半导体器件,其特征在于,所述掺杂杂质分凝到所述金属硅化物和所述源区以及所述金属硅化物和所述漏区之间的界面处;或者,10. The semiconductor device according to claim 8, wherein the dopant impurity segregates to the interface between the metal silicide and the source region and the metal silicide and the drain region ;or, 所述掺杂杂质分凝到所述金属硅化物和所述外延覆盖层之间的界面处。The dopant impurity is segregated to the interface between the metal silicide and the epitaxial cladding layer. 11.如权利要求8所述的半导体器件,其特征在于,在所述源区和所述漏区内形成有应力层,所述外延覆盖层形成在所述应力层的表面上。11. The semiconductor device according to claim 8, wherein a stress layer is formed in the source region and the drain region, and the epitaxial covering layer is formed on a surface of the stress layer. 12.一种电子装置,其特征在于,所述电子装置包括权利要求8至11之一所述的半导体器件。12. An electronic device, characterized in that the electronic device comprises the semiconductor device according to any one of claims 8 to 11.
CN201710084425.9A 2017-02-16 2017-02-16 A kind of semiconductor device and its manufacturing method and electronic device Pending CN108447823A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710084425.9A CN108447823A (en) 2017-02-16 2017-02-16 A kind of semiconductor device and its manufacturing method and electronic device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201710084425.9A CN108447823A (en) 2017-02-16 2017-02-16 A kind of semiconductor device and its manufacturing method and electronic device

Publications (1)

Publication Number Publication Date
CN108447823A true CN108447823A (en) 2018-08-24

Family

ID=63190724

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710084425.9A Pending CN108447823A (en) 2017-02-16 2017-02-16 A kind of semiconductor device and its manufacturing method and electronic device

Country Status (1)

Country Link
CN (1) CN108447823A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110634866A (en) * 2019-09-05 2019-12-31 中国科学院微电子研究所 A kind of CMOS transistor, the preparation method of CMOS transistor and electronic equipment
CN113506747A (en) * 2021-06-28 2021-10-15 上海华力集成电路制造有限公司 Doping Segregation Schottky Fabrication Method for Reducing FinFET Contact Resistance
CN113948510A (en) * 2021-09-08 2022-01-18 上海华力集成电路制造有限公司 Manufacturing method of double-segregation Schottky junction for reducing FinFET contact resistance

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102693917A (en) * 2011-03-25 2012-09-26 中国科学院微电子研究所 Heat-stable nickel-based silicide source-drain MOSFETs and manufacturing method thereof
CN102983163A (en) * 2011-09-07 2013-03-20 中国科学院微电子研究所 Low source-drain contact resistance MOSFETs and method of making same
CN103165457A (en) * 2011-12-15 2013-06-19 中国科学院微电子研究所 Semiconductor device manufacturing method
CN104347512A (en) * 2013-08-07 2015-02-11 中芯国际集成电路制造(上海)有限公司 Forming method of CMOS (Complementary Metal Oxide Semiconductor) transistor
CN108257916A (en) * 2016-12-28 2018-07-06 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102693917A (en) * 2011-03-25 2012-09-26 中国科学院微电子研究所 Heat-stable nickel-based silicide source-drain MOSFETs and manufacturing method thereof
CN102983163A (en) * 2011-09-07 2013-03-20 中国科学院微电子研究所 Low source-drain contact resistance MOSFETs and method of making same
CN103165457A (en) * 2011-12-15 2013-06-19 中国科学院微电子研究所 Semiconductor device manufacturing method
CN104347512A (en) * 2013-08-07 2015-02-11 中芯国际集成电路制造(上海)有限公司 Forming method of CMOS (Complementary Metal Oxide Semiconductor) transistor
CN108257916A (en) * 2016-12-28 2018-07-06 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
毕克允等: "掺杂与离子注入", 《微电子技术信息化武器装备的精灵》 *
沈文忠: "硅晶体的掺杂", 《太阳能光伏技术与应用》 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110634866A (en) * 2019-09-05 2019-12-31 中国科学院微电子研究所 A kind of CMOS transistor, the preparation method of CMOS transistor and electronic equipment
CN110634866B (en) * 2019-09-05 2021-09-14 中国科学院微电子研究所 CMOS transistor, preparation method of CMOS transistor and electronic equipment
CN113506747A (en) * 2021-06-28 2021-10-15 上海华力集成电路制造有限公司 Doping Segregation Schottky Fabrication Method for Reducing FinFET Contact Resistance
CN113948510A (en) * 2021-09-08 2022-01-18 上海华力集成电路制造有限公司 Manufacturing method of double-segregation Schottky junction for reducing FinFET contact resistance

Similar Documents

Publication Publication Date Title
CN107665864B (en) FINFET with air gap spacer and method of forming the same
US11854901B2 (en) Semiconductor method and device
CN102214579B (en) Manufacturing method of semiconductor element and semiconductor element
TWI796972B (en) Semiconductor device and methods of forming the same
US10192985B2 (en) FinFET with doped isolation insulating layer
US11532519B2 (en) Semiconductor device and method
CN108122825A (en) Method for manufacturing semiconductor device
TWI707477B (en) Semiconductor devices and methods for manufacturing the same
CN106531686A (en) Interconnection structure, fabricating method thereof, and semiconductor device using the same
CN111129143A (en) Semiconductor device and method of forming the same
CN108122773A (en) Method for forming fin field effect transistor device
US9754935B2 (en) Raised metal semiconductor alloy for self-aligned middle-of-line contact
CN108447823A (en) A kind of semiconductor device and its manufacturing method and electronic device
CN103811538A (en) Metal gate structure with device gain and yield improvement
KR20220122553A (en) Conductive Features of Semiconductor Devices and Methods of Forming Same
CN108447826B (en) A semiconductor device and its manufacturing method and electronic device
CN108346697A (en) A kind of semiconductor devices and its manufacturing method and electronic device
CN108346698A (en) A kind of manufacturing method of semiconductor devices
CN108630533B (en) Method for manufacturing semiconductor device
CN108346658A (en) A kind of semiconductor devices and its manufacturing method and electronic device
CN116504807A (en) Semiconductor device and manufacturing method thereof
US10937866B2 (en) Method and structure for forming silicon germanium FinFET
TWI864786B (en) Nanosheet with dual isolation regions separated by buried inner spacer
TWI896938B (en) Semiconductor device and methods of forming the same
TWI827115B (en) Semiconductor device and method of forming the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20180824

RJ01 Rejection of invention patent application after publication