CN100561712C - Semiconductor device and manufacturing method thereof - Google Patents
Semiconductor device and manufacturing method thereof Download PDFInfo
- Publication number
- CN100561712C CN100561712C CNB2006101190579A CN200610119057A CN100561712C CN 100561712 C CN100561712 C CN 100561712C CN B2006101190579 A CNB2006101190579 A CN B2006101190579A CN 200610119057 A CN200610119057 A CN 200610119057A CN 100561712 C CN100561712 C CN 100561712C
- Authority
- CN
- China
- Prior art keywords
- layer
- silicon nitride
- etching
- gate
- stop layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 30
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 13
- 238000000034 method Methods 0.000 claims abstract description 50
- 229910052581 Si3N4 Inorganic materials 0.000 claims abstract description 32
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims abstract description 32
- 239000000758 substrate Substances 0.000 claims abstract description 32
- 238000005530 etching Methods 0.000 claims abstract description 28
- 229910052751 metal Inorganic materials 0.000 claims abstract description 19
- 239000002184 metal Substances 0.000 claims abstract description 19
- 125000006850 spacer group Chemical group 0.000 claims abstract description 19
- 229910021332 silicide Inorganic materials 0.000 claims abstract description 14
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims abstract description 14
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims abstract description 12
- 229910052814 silicon oxide Inorganic materials 0.000 claims abstract description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 18
- 229910052710 silicon Inorganic materials 0.000 claims description 18
- 239000010703 silicon Substances 0.000 claims description 18
- 239000000463 material Substances 0.000 claims description 14
- 238000006243 chemical reaction Methods 0.000 claims description 11
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 10
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 9
- 229910052799 carbon Inorganic materials 0.000 claims description 9
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 claims description 8
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 claims description 8
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 6
- 239000001301 oxygen Substances 0.000 claims description 6
- 229910052760 oxygen Inorganic materials 0.000 claims description 6
- 238000001039 wet etching Methods 0.000 claims description 5
- 229910000147 aluminium phosphate Inorganic materials 0.000 claims description 4
- 238000000151 deposition Methods 0.000 claims description 4
- 239000001307 helium Substances 0.000 claims description 4
- 229910052734 helium Inorganic materials 0.000 claims description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 4
- 238000011065 in-situ storage Methods 0.000 claims description 3
- 238000005137 deposition process Methods 0.000 claims description 2
- 230000008021 deposition Effects 0.000 abstract description 3
- 230000008569 process Effects 0.000 description 28
- 230000004888 barrier function Effects 0.000 description 11
- 238000005229 chemical vapour deposition Methods 0.000 description 11
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 10
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 9
- 229920005591 polysilicon Polymers 0.000 description 9
- 229920002120 photoresistant polymer Polymers 0.000 description 7
- 239000012535 impurity Substances 0.000 description 6
- 238000005468 ion implantation Methods 0.000 description 6
- 229910017052 cobalt Inorganic materials 0.000 description 5
- 239000010941 cobalt Substances 0.000 description 5
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 5
- 229910052759 nickel Inorganic materials 0.000 description 5
- 238000010586 diagram Methods 0.000 description 4
- -1 PF 5 Chemical class 0.000 description 3
- 238000000137 annealing Methods 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 239000007789 gas Substances 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- WZJUBBHODHNQPW-UHFFFAOYSA-N 2,4,6,8-tetramethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O1 WZJUBBHODHNQPW-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- 239000000460 chlorine Substances 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 239000010432 diamond Substances 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 229910000449 hafnium oxide Inorganic materials 0.000 description 2
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 150000002902 organometallic compounds Chemical class 0.000 description 2
- 150000003961 organosilicon compounds Chemical class 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 2
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 229910001928 zirconium oxide Inorganic materials 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical group [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- CEPICIBPGDWCRU-UHFFFAOYSA-N [Si].[Hf] Chemical compound [Si].[Hf] CEPICIBPGDWCRU-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- VKJLWXGJGDEGSO-UHFFFAOYSA-N barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[Ti+4].[Ba+2] VKJLWXGJGDEGSO-UHFFFAOYSA-N 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000005429 filling process Methods 0.000 description 1
- 150000002222 fluorine compounds Chemical class 0.000 description 1
- VTGARNNDLOTBET-UHFFFAOYSA-N gallium antimonide Chemical compound [Sb]#[Ga] VTGARNNDLOTBET-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000004151 rapid thermal annealing Methods 0.000 description 1
- 230000008439 repair process Effects 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 150000003376 silicon Chemical class 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- VEALVRVVWBQVSL-UHFFFAOYSA-N strontium titanate Chemical compound [Sr+2].[O-][Ti]([O-])=O VEALVRVVWBQVSL-UHFFFAOYSA-N 0.000 description 1
- CZXRMHUWVGPWRM-UHFFFAOYSA-N strontium;barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[O-2].[Ti+4].[Sr+2].[Ba+2] CZXRMHUWVGPWRM-UHFFFAOYSA-N 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- OCGWQDWYSQAFTO-UHFFFAOYSA-N tellanylidenelead Chemical compound [Pb]=[Te] OCGWQDWYSQAFTO-UHFFFAOYSA-N 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 238000001771 vacuum deposition Methods 0.000 description 1
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 1
Images
Landscapes
- Electrodes Of Semiconductors (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Abstract
Description
技术领域 technical field
本发明涉及半导体制造技术领域,特别涉及一种互补金属氧化物半导体器件(CMOS)及其制造方法。The invention relates to the technical field of semiconductor manufacturing, in particular to a complementary metal oxide semiconductor device (CMOS) and a manufacturing method thereof.
背景技术 Background technique
随着半导体器件制造技术飞速发展,集成电路中器件的密集程度越来越高,器件的临界尺寸已经达到了深亚微米阶段。在这种情况下,对于元器件之间的空间填充工艺提出了新的挑战。图1为说明现有半导体器件及其形成过程的示意图。如图1所示,在形成互连层的工艺线后段(back end of line,BEOL)开始时,通常需要在工艺线前段(front end of line,FEOL)形成的MOS晶体管与互连层中的最下层18之间淀积介质层20,该介质层20称为金属前介电层(pre-metal dielectric,PMD)。在介质层20层中通过刻蚀通孔并填充有金属材料形成连接孔16。MOS晶体管的栅极14通过连接孔16连接至互连层18中的金属连接线19(源极、漏极也相应连接),连接线19再通过双镶嵌(dual-damascene)结构连接至上层互连层。With the rapid development of semiconductor device manufacturing technology, the density of devices in integrated circuits is getting higher and higher, and the critical dimensions of devices have reached the deep submicron stage. In this case, new challenges are presented for the space filling process between components. FIG. 1 is a schematic diagram illustrating a conventional semiconductor device and its formation process. As shown in Figure 1, when the back end of line (BEOL) of the process line forming the interconnection layer starts, it usually needs to be formed in the MOS transistor and the interconnection layer formed in the front end of line (FEOL) of the process line. A
申请号为200510077686.5的中国专利申请中介绍了一种PMD层的形成方法。形成PMD层之前,在工艺线前段形成CMOS晶体管,首先提供半导体衬底10,在衬底10中形成n阱和p阱用于形成NMOS和PMOS。然后在衬底10表面淀积栅极氧化层,再于栅极氧化层表面淀积多晶硅层,图案化所述多晶硅层并刻蚀多晶硅形成NMOS晶体管的栅极12和PMOS晶体管的栅极14。然后在栅极12和14的两侧进行低剂量离子注入的轻掺杂形成浅结。接下来在衬底10和栅极表面淀积氧化硅和氮化硅,并利用干法刻蚀形成侧壁隔离物(offsetspacer)15,随后在栅极12和14的两侧进行高剂量离子注入的重掺杂,形成源区17和漏区11。接着淀积自对准阻挡层并刻蚀栅极12和14、源区17和漏区11表面的自对准阻挡层,淀积金属镍或钴,经退火后在栅极12和14、源区17和漏区11表面形成金属硅化物13,然后淀积接触孔刻蚀停止层21。在接下来的工艺步骤中,利用等离子增强化学气相淀积(HDP-CVD)或亚常压化学气相淀积(SACVD)工艺淀积PMD层20,并利用化学机械研磨(CMP)工艺对PMD层20平坦化。由于PMD层20需要无空隙地填充在栅极14和12之间的空间,PMD层20的淀积效果影响到后续接触孔16的形成质量。当制造工艺进入90nm以下工艺节点之后,栅极12和14之间的空间距离非常狭小,类似高深宽比沟槽,在这种情况下对于栅极12和14之间的空间填充就变得愈加困难。A method for forming a PMD layer is introduced in Chinese patent application No. 200510077686.5. Before forming the PMD layer, CMOS transistors are formed at the front end of the process line. Firstly, a
发明内容 Contents of the invention
本发明的目的在于提供了一种半导体器件及其制造方法,能够提高PMD层在栅极之间的空间填充质量。The object of the present invention is to provide a semiconductor device and a manufacturing method thereof, which can improve the quality of the space filling of the PMD layer between the gates.
为达到上述目的,本发明提供一种半导体器件的制造方法,包括:To achieve the above object, the present invention provides a method for manufacturing a semiconductor device, comprising:
在半导体衬底上形成具有侧壁隔离物的栅极,所述侧壁隔离物包括氧化硅层和氮化硅层;forming a gate with a sidewall spacer on a semiconductor substrate, the sidewall spacer comprising a silicon oxide layer and a silicon nitride layer;
在所述半导体衬底中形成源区和漏区,并在所述栅极、源区和漏区表面形成金属硅化物;forming a source region and a drain region in the semiconductor substrate, and forming a metal silicide on the surface of the gate, the source region and the drain region;
移除所述侧壁隔离物中的氮化硅层;removing the silicon nitride layer in the sidewall spacers;
在包括所述栅极、源区和漏区的衬底表面形成刻蚀停止层;forming an etch stop layer on the surface of the substrate including the gate, source region and drain region;
在所述刻蚀停止层表面淀积介质层。A dielectric layer is deposited on the surface of the etching stop layer.
采用湿法腐蚀移除所述侧壁隔离物的氮化硅层。The silicon nitride layer of the sidewall spacer is removed by wet etching.
所述湿法腐蚀的腐蚀液为磷酸,腐蚀时间为20~600秒,所述腐蚀液的温度为120℃~200℃。The etchant for the wet etching is phosphoric acid, the etching time is 20-600 seconds, and the temperature of the etchant is 120°C-200°C.
所述刻蚀停止层的材料为氮化硅、氮氧化硅或含碳的氮化硅。The material of the etching stop layer is silicon nitride, silicon oxynitride or silicon nitride containing carbon.
所述刻蚀停止层的厚度为 The thickness of the etch stop layer is
所述刻蚀停止层的淀积工艺为等离子增强化学气相淀积。The deposition process of the etching stop layer is plasma enhanced chemical vapor deposition.
相应地,本发明提供了一种半导体器件,包括:Accordingly, the present invention provides a semiconductor device, comprising:
在半导体衬底上形成的栅极,所述栅极侧壁具有氧化硅层;a gate formed on a semiconductor substrate, the sidewall of the gate having a silicon oxide layer;
在所述半导体衬底中形成的源区和漏区,所述栅极、源区和漏区的表面具有金属硅化物;以及source and drain regions formed in the semiconductor substrate, the surfaces of the gate, source and drain regions having metal silicide; and
在所述栅极、源区和漏区表面形成的刻蚀停止层;an etch stop layer formed on the surface of the gate, source region and drain region;
在所述刻蚀停止层表面形成的介质层。A dielectric layer formed on the surface of the etching stop layer.
所述刻蚀停止层的材料为氮化硅、氮氧化硅或含碳的氮化硅。The material of the etching stop layer is silicon nitride, silicon oxynitride or silicon nitride containing carbon.
所述刻蚀停止层的厚度为 The thickness of the etch stop layer is
所述金属硅化物为镍或钴的硅化物。The metal silicide is nickel or cobalt silicide.
与现有技术相比,本发明具有以下优点:Compared with the prior art, the present invention has the following advantages:
本发明的半导体器件制造方法在工艺线前段形成金属硅化物之后,利用湿法清洗工艺选择性地去除了栅极两侧的侧壁隔离物中的氮化硅层。去除例壁隔离物中的氮化硅层增加了CMOS器件栅极之间的空间距离。在工艺线后段淀积PMD层时,由于栅极之间空间距离的增加,降低了填充的难度,提高了PMD层对栅极之间的空间的填充效果和覆盖能力,放宽了淀积PMD层的工艺窗口。此外,去除侧壁隔离物之后,本发明的方法在器件表面淀积氮化硅作为接触孔刻蚀停止层,该刻蚀停止层覆盖CMOS器件的NMOS和PMOS晶体管表面,其一方面起到刻蚀接触孔时的刻蚀停止层作用,另一方面起到了应力膜的作用,其覆盖NMOS和PMOS晶体管表面可以调整NMOS和PMOS晶体管沿沟道方向的应力,从而提高器件性能。In the semiconductor device manufacturing method of the present invention, after the metal silicide is formed in the front section of the process line, the silicon nitride layer in the sidewall spacers on both sides of the gate is selectively removed by using a wet cleaning process. Removing the silicon nitride layer in the wall spacers increases the spacing between gates of CMOS devices. When the PMD layer is deposited in the back section of the process line, due to the increase in the space distance between the gates, the difficulty of filling is reduced, the filling effect and coverage of the PMD layer on the space between the gates are improved, and the deposition of PMD is relaxed. Layer's crafting window. In addition, after removing the sidewall spacers, the method of the present invention deposits silicon nitride on the surface of the device as a contact hole etch stop layer, and the etch stop layer covers the surfaces of the NMOS and PMOS transistors of the CMOS device. On the other hand, it acts as a stress film, covering the surface of NMOS and PMOS transistors, which can adjust the stress of NMOS and PMOS transistors along the channel direction, thereby improving device performance.
附图说明 Description of drawings
通过附图中所示的本发明的优选实施例的更具体说明,本发明的上述及其它目的、特征和优势将更加清晰。并未刻意按比例绘制附图,重点在于示出本发明的主旨。在附图中,为清楚明了,放大了层和区域的厚度。The above and other objects, features and advantages of the present invention will be more apparent by a more specific description of preferred embodiments of the present invention shown in the accompanying drawings. The drawings have not been drawn to scale, emphasis instead being placed upon illustrating the gist of the invention. In the drawings, the thickness of layers and regions are exaggerated for clarity.
图1为说明现有半导体器件及其形成过程的示意图;1 is a schematic diagram illustrating a conventional semiconductor device and its formation process;
图2至图9为说明根据本发明实施例的半导体器件制造方法的剖面示意图;2 to 9 are schematic cross-sectional views illustrating a method for manufacturing a semiconductor device according to an embodiment of the present invention;
图10为根据本发明实施例的半导体器件的剖面示意图。FIG. 10 is a schematic cross-sectional view of a semiconductor device according to an embodiment of the present invention.
具体实施方式 Detailed ways
为使本发明的上述目的、特征和优点能够更加明显易懂,下面结合附图对本发明的具体实施方式做详细的说明。In order to make the above objects, features and advantages of the present invention more comprehensible, specific implementations of the present invention will be described in detail below in conjunction with the accompanying drawings.
在下面的描述中阐述了很多具体细节以便于充分理解本发明。但是本发明能够以很多不同于在此描述的其它方式来实施,本领域技术人员可以在不违背本发明内涵的情况下做类似推广。因此本发明不受下面公开的具体实施的限制。In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. However, the present invention can be implemented in many ways other than those described here, and those skilled in the art can make similar extensions without departing from the connotation of the present invention. Accordingly, the invention is not limited to the specific implementations disclosed below.
图2至图9为说明根据本发明实施例的半导体器件制造方法的剖面示意图,所述示意图只是实例,其在此不应过度限制本发明保护的范围。如图2所示,本发明的半导体器件制造方法首先提供一半导体衬底100,衬底100可以是单晶、多晶或非晶结构的硅或硅锗(SiGe),也可以是绝缘体上硅(SOI),或者还可以包括其它的材料,例如锑化铟、碲化铅、砷化铟、磷化铟、砷化镓或锑化镓。虽然在此描述了可以形成衬底100的材料的几个示例,但是可以作为半导体衬底的任何材料均落入本发明的精神和范围。在衬底100中通过掺杂工艺例如离子注入工艺形成n阱和p阱(图中未示出)。2 to 9 are cross-sectional schematic diagrams illustrating a method for manufacturing a semiconductor device according to an embodiment of the present invention, and the schematic diagrams are just examples, which should not limit the protection scope of the present invention excessively. As shown in Figure 2, the semiconductor device manufacturing method of the present invention first provides a
然后,在衬底100表面形成栅极氧化层110,栅极氧化层110可以是氧化硅(SiO2)或氮氧化硅(SiNO)。在65nm以下工艺节点,栅极氧化层110的材料优选为高介电常数材料,例如氧化铪、氧化铪硅、氮氧化铪硅、氧化镧、氧化锆、氧化锆硅、氧化钛、氧化钽、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化铝等。特别优选的是氧化铪、氧化锆和氧化铝。虽然在此描述了可以用来形成栅极氧化层110的材料的少数示例,但是该层可以由减小栅极漏电流的其它材料形成。栅极氧化层110的生长方法可以是任何常规真空镀膜技术,比如原子层沉积(ALD)、物理气相淀积(PVD)、化学气相淀积(CVD)、等离子体增强型化学气相淀积(PECVD)工艺,优选为原子层沉积工艺。在这样的工艺中,衬底100和栅极氧化层110之间会形成光滑的原子界面,可以形成理想厚度的栅极介质层。Then, a
接着,在栅极氧化层表面淀积多晶硅层,可以利用PECVD或高密度等离子化学气相淀积(HDP-CVD)工艺在衬底表面淀积多晶硅层。在沉积的多晶硅层表面还需形成一硬掩膜层,例如氮化硅,通常采用PECVD工艺淀积形成上述氮化硅。然后涂布光刻胶并图案化光刻胶以定义栅极的位置,随后利用光刻胶和氮化硅作为掩膜,采用等离子刻蚀方法刻蚀多晶硅层形成NMOS晶体管的栅极120和PMOS晶体管的栅极130。然后去除剩余的光刻胶和硬掩膜氮化硅,光刻胶的去除采用灰化工艺,硬掩膜氮化硅采用磷酸湿法去除。Next, a polysilicon layer is deposited on the surface of the gate oxide layer, and a polysilicon layer can be deposited on the surface of the substrate by PECVD or high-density plasma chemical vapor deposition (HDP-CVD) process. A hard mask layer, such as silicon nitride, needs to be formed on the surface of the deposited polysilicon layer, and the above-mentioned silicon nitride is usually deposited by PECVD process. Then apply photoresist and pattern the photoresist to define the position of the gate, then use the photoresist and silicon nitride as a mask, and use the plasma etching method to etch the polysilicon layer to form the
接下来如图3所示,为了修复刻蚀和去除氮化硅时对栅极120和130的侧壁造成的损伤,还需在栅极表面和两侧生长一层氧化层140。可以利用热氧化或ISSG(原位蒸气产生)形成上述氧化层140。然后对衬底进行低剂量的杂质离子注入形成源区和漏区的浅结。对于NMOS晶体管采用的n型杂质为磷(P)、砷(As);对于PMOS晶体管,采用的p型杂质为硼(B)。掺杂杂质的原子被离化、分离、加速(获得动能),形成离子束流,扫过多晶硅层表面,杂质离子对多晶硅层表面进行物理轰击,进入表面并在表面以下停下。离子注入使用掺杂杂质的气态源,大多数气态源采用氟化物,例如PF5、AsF5、BF3。Next, as shown in FIG. 3 , in order to repair the damage to the sidewalls of the
然后,如图4所示,在栅极120和130表面以及衬底100表面淀积氧化层150,氧化层150可以是氧化硅(SiO2),在反应室中通入硅烷(SiH4)和氧气O2,利用常规CVD工艺淀积形成,该层的厚度在之间。随后采用等离子增强化学气相淀积工艺(PECVD)在氧化层150表面沉积氮化硅层160,如图5所示。Then, as shown in FIG. 4 , an
采用干法刻蚀,例如反应离子刻蚀(RIE)工艺刻蚀氮化硅层160和氧化层150,形成具有ON结构的侧壁隔离物,ON结构包括氧化硅150’和氮化硅170,如图6所示。在本实施例中,反应室内通入刻蚀剂气体流量50-400sccm,衬底温度控制在20℃和90℃之间,腔体压力为4-80mTorr,等离子源射频输出功率1500W-2000W。刻蚀剂采用混合气体,混合气体包括SF6、CHF3、CF4、氯气Cl 2、氧气O2、氮气N2、氦气He和氧气O2,以及其它惰性气体,例如氢气Ar、氖气Ne等等。接下来,利用高剂量离子注入进行重掺杂,形成源区和漏区。然后在衬底100、栅极120和130、侧壁隔离物表面形成自对准阻挡层。自对准阻挡层的材料优选为富硅氧化物,采用化学气相淀积或热氧化法形成,厚度为随后,在自对准阻挡层表面涂布光刻胶并通过显影、定影等光刻工艺构图所述自对准阻挡层,借此界定义金属硅化物形成的位置。接着,利用图案化的光刻胶为掩膜刻蚀所述自对准阻挡层,在自对准阻挡层中对应栅极、源区和漏区的位置处形成开口。接着,在自对准阻挡层表面利用物理溅射的方法沉积金属镍或钴。由于自对准阻挡层起到掩膜的作用,因此所述金属只会与栅极、源区和漏区表面的硅相接触。随后进行热退火,优选快速热退火工艺,以使与栅极、源区和漏区接触的金属与下方的硅发生硅化反应,形成镍或钴的硅化物180。典型退火温度在500~550℃之间。接下来采用湿法清洗去除未发生硅化反应的剩余金属和自对准阻挡层。Etching the
在接下来的工艺步骤中,如图7所示,本发明的方法将先前形成的例壁隔离物中的氮化硅层170移除。氮化硅层170采用湿法腐蚀的方法去除,使用的腐蚀液为磷酸(H3PO4),腐蚀的时间控制在20~600秒之间,腐蚀液的温度为120℃~200℃。然后,如图8所示,利用CVD工艺,优选为PECVD,在包括栅极120和130、源区和漏区的衬底100表面淀积刻蚀停止层190,刻蚀停止层190为氮化硅(Si3N4)、氮氧化硅(SiON)或含碳的氮化硅(nitridedoped carbon,NDC),例如氮碳氧化硅(SiOCN),厚度为上述刻蚀停止层190一方面作为后续刻蚀连接孔的蚀刻停止层,另一方面起到了应力膜的作用,其覆盖NMOS和PMOS晶体管表面,能够调整NMOS晶体管的栅极120和PMOS晶体管的栅极130下方沿沟道方向的应力,从而提高器件性能。In the next process step, as shown in FIG. 7 , the method of the present invention removes the
然后,在上述刻蚀停止层190表面原位淀积介质层200,如图9所示。介质层200是由化学气相淀积法沉积的低介电常数的无机硅基质层(Inorganicsilicon based layer),例如碳氧化硅(SiCO)或氟化硅玻璃(FSG),优选为应用材料(Applied Materials)公司商标为黑钻石(black diamond)的二氧化硅(SiO2)。在本发明的优选实施例中,形成金属前低k电介质层200的方法使用包括含碳有机金属或有机硅化合物、臭氧和掺杂剂源的加热准常压化学气相淀积(SACVD)工艺高密度等离子化学气相淀积(HDP-CVD)工艺。含碳的有机金属或有机硅化合物可以包括环硅氧烷例如四甲基环四硅氧烷(TMCTS)或八甲基环四硅氧烷(OMCTS)或其它环状硅氧烷,优选为OMCTS。在CVD反应室中,将晶片放在反应室内部的、其中包括有加热元件的平台上,由用于控制反应室内温度的热感受器来控制平台。向反应室中通入反应气体流,包括OMCTS、氧气的混合物和氦气。形成低介电常数电介质层200反应条件为:八甲基环四硅氧烷OMCTS的流量为1500~3500mgm;氧气O2的流量为50~500sccm;氦气He为0~2000sccm;射频功率为300~1000W;反应室压力为2~10Torr。形成的电介质层200的介电常数约小于3.0。随后,利用CMP工艺对上述电介质层200进行平坦化处理。Then, a
图10为根据本发明实施例的半导体器件的剖面示意图。如图10所示,包括半导体衬底100,在衬底100表面形成的栅极氧化层110,在栅极氧化层110表面形成的栅极120和130,栅极120和130侧壁分别具有氧化硅层150’。在所述半导体衬底100中形成的源区和漏区,在栅极、源区和漏区的表面形成的金属硅化物180;以及在衬底100、栅极120和130、源区和漏区表面形成的刻蚀停止层190;在刻蚀停止层190表面形成的介质层200。其中刻蚀停止层190的材料为氮化硅、氮氧化硅或含碳的氮化硅,厚度为所述金属硅化物为镍或钴的硅化物。FIG. 10 is a schematic cross-sectional view of a semiconductor device according to an embodiment of the present invention. As shown in FIG. 10 , it includes a
以上所述,仅是本发明的较佳实施例而已,并非对本发明作任何形式上的限制。虽然本发明已以较佳实施例揭露如上,然而并非用以限定本发明。任何熟悉本领域的技术人员,在不脱离本发明技术方案范围情况下,都可利用上述揭示的方法和技术内容对本发明技术方案作出许多可能的变动和修饰,或修改为等同变化的等效实施例。因此,凡是未脱离本发明技术方案的内容,依据本发明的技术实质对以上实施例所做的任何简单修改、等同变化及修饰,均仍属于本发明技术方案保护的范围内。The above descriptions are only preferred embodiments of the present invention, and do not limit the present invention in any form. Although the present invention has been disclosed above with preferred embodiments, it is not intended to limit the present invention. Any person familiar with the art, without departing from the scope of the technical solution of the present invention, can use the methods and technical content disclosed above to make many possible changes and modifications to the technical solution of the present invention, or modify it into an equivalent implementation of equivalent changes example. Therefore, any simple modifications, equivalent changes and modifications made to the above embodiments according to the technical essence of the present invention, which do not deviate from the technical solution of the present invention, still fall within the protection scope of the technical solution of the present invention.
Claims (7)
Priority Applications (1)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| CNB2006101190579A CN100561712C (en) | 2006-12-04 | 2006-12-04 | Semiconductor device and manufacturing method thereof |
Applications Claiming Priority (1)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| CNB2006101190579A CN100561712C (en) | 2006-12-04 | 2006-12-04 | Semiconductor device and manufacturing method thereof |
Publications (2)
| Publication Number | Publication Date |
|---|---|
| CN101197323A CN101197323A (en) | 2008-06-11 |
| CN100561712C true CN100561712C (en) | 2009-11-18 |
Family
ID=39547609
Family Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| CNB2006101190579A Active CN100561712C (en) | 2006-12-04 | 2006-12-04 | Semiconductor device and manufacturing method thereof |
Country Status (1)
| Country | Link |
|---|---|
| CN (1) | CN100561712C (en) |
Families Citing this family (6)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| CN102779746A (en) * | 2012-08-16 | 2012-11-14 | 上海华力微电子有限公司 | Method for forming metal grid |
| CN103367164B (en) * | 2013-06-26 | 2015-11-11 | 株洲南车时代电气股份有限公司 | A kind of gate electrode and preparation method thereof |
| CN105448724B (en) * | 2014-08-22 | 2019-03-22 | 无锡华润上华科技有限公司 | A kind of semiconductor devices and its manufacturing method, electronic device |
| CN110211944B (en) * | 2018-02-28 | 2022-04-12 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor device and forming method |
| CN110349908B (en) * | 2018-04-03 | 2022-11-04 | 华邦电子股份有限公司 | Self-aligned contact structure and method of forming the same |
| CN117316876A (en) * | 2023-11-28 | 2023-12-29 | 粤芯半导体技术股份有限公司 | Method for preparing semiconductor structure and semiconductor structure |
-
2006
- 2006-12-04 CN CNB2006101190579A patent/CN100561712C/en active Active
Also Published As
| Publication number | Publication date |
|---|---|
| CN101197323A (en) | 2008-06-11 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| CN102737976B (en) | Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure | |
| US8334198B2 (en) | Method of fabricating a plurality of gate structures | |
| US9257325B2 (en) | Semiconductor structures and methods for forming isolation between Fin structures of FinFET devices | |
| US7795107B2 (en) | Method for forming isolation structures | |
| US20210343600A1 (en) | Self-Aligned Metal Gate for Multigate Device | |
| CN105047660B (en) | Shallow trench isolation structure | |
| US8535998B2 (en) | Method for fabricating a gate structure | |
| CN102347361A (en) | Field effect transistor and method of manufacturing the same | |
| US8629508B2 (en) | Semiconductor device and method of manufacture | |
| CN102044423A (en) | Method for manufacturing grid structure | |
| CN102148198B (en) | A method of manufacturing integrated circuit and gate structure | |
| TWI887454B (en) | Integrated flowable low-k gap-fill and plasma treatment | |
| CN100561712C (en) | Semiconductor device and manufacturing method thereof | |
| CN106373924A (en) | Formation method of semiconductor structure | |
| CN103545185B (en) | A kind of method that use dummy grid manufactures semiconductor devices | |
| US9881840B2 (en) | Method of fabricating gate electrode using a treated hard mask | |
| CN104795362A (en) | Method for making semiconductor device | |
| US20070022941A1 (en) | Method of forming a layer and method of manufacturing a semiconductor device using the same | |
| CN104752175A (en) | Method for manufacturing semiconductor device | |
| CN104851802B (en) | A kind of semiconductor devices and preparation method thereof | |
| CN104425231B (en) | A kind of preparation method of semiconductor devices | |
| CN104051245A (en) | A kind of preparation method of semiconductor device | |
| JP2003229577A (en) | Manufacturing method for semiconductor device | |
| CN103839812A (en) | Semiconductor device and method for preparing same | |
| US20240234130A1 (en) | High quality insitu treated pecvd film |
Legal Events
| Date | Code | Title | Description |
|---|---|---|---|
| C06 | Publication | ||
| PB01 | Publication | ||
| C10 | Entry into substantive examination | ||
| SE01 | Entry into force of request for substantive examination | ||
| C14 | Grant of patent or utility model | ||
| GR01 | Patent grant | ||
| ASS | Succession or assignment of patent right |
Owner name: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING |
|
| C41 | Transfer of patent application or patent right or utility model | ||
| TR01 | Transfer of patent right |
Effective date of registration: 20111108 Address after: 201203 Shanghai City, Pudong New Area Zhangjiang Road No. 18 Co-patentee after: Semiconductor Manufacturing International (Beijing) Corporation Patentee after: Semiconductor Manufacturing International (Shanghai) Corporation Address before: 201203 Shanghai City, Pudong New Area Zhangjiang Road No. 18 Patentee before: Semiconductor Manufacturing International (Shanghai) Corporation |