[go: up one dir, main page]

CN103972235B - Electronic device and forming method thereof - Google Patents

Electronic device and forming method thereof Download PDF

Info

Publication number
CN103972235B
CN103972235B CN201410030960.2A CN201410030960A CN103972235B CN 103972235 B CN103972235 B CN 103972235B CN 201410030960 A CN201410030960 A CN 201410030960A CN 103972235 B CN103972235 B CN 103972235B
Authority
CN
China
Prior art keywords
source
drain regions
nanowires
silicide
capacitor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201410030960.2A
Other languages
Chinese (zh)
Other versions
CN103972235A (en
Inventor
S·邦萨伦提普
A·马宗达
J·W·斯雷特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/751,490 external-priority patent/US9064942B2/en
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN103972235A publication Critical patent/CN103972235A/en
Application granted granted Critical
Publication of CN103972235B publication Critical patent/CN103972235B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Abstract

本发明涉及电子器件及其形成方法。一种制造电子器件的方法包括如下步骤。在SOI晶片的SOI层中蚀刻至少一个第一组纳米线和衬垫以及至少一个第二组纳米线和衬垫。形成第一栅极叠层,其包围用作电容器器件的沟道区的所述第一组纳米线中每一条纳米线的至少一部分。形成第二栅极叠层,其包围用作FET器件的沟道区的所述第二组纳米线中每一条纳米线的至少一部分。选择性地掺杂所述FET器件的源极区和漏极区。在所述电容器器件的所述源极区和漏极区上形成第一硅化物,该第一硅化物至少延伸到所述第一栅极叠层的边缘。在所述FET器件的所述源极区和漏极区上形成第二硅化物。

The present invention relates to electronic devices and methods of forming them. A method of manufacturing an electronic device includes the following steps. At least one first set of nanowires and liners and at least one second set of nanowires and liners are etched in the SOI layer of the SOI wafer. A first gate stack is formed surrounding at least a portion of each nanowire of the first set of nanowires serving as a channel region of a capacitor device. A second gate stack is formed surrounding at least a portion of each nanowire of the second set of nanowires serving as the channel region of the FET device. Source and drain regions of the FET device are selectively doped. A first silicide is formed on the source and drain regions of the capacitor device, the first silicide extending at least to an edge of the first gate stack. A second silicide is formed on the source and drain regions of the FET device.

Description

电子器件及其形成方法Electronic device and method of forming the same

技术领域technical field

本发明涉及非平面器件结构中的电容器,并且更具体地,涉及在全包围栅极纳米线集成流(integration flow)中形成双向电容器的技术。The present invention relates to capacitors in non-planar device structures, and more particularly, to techniques for forming bidirectional capacitors in an all-around gate nanowire integration flow.

背景技术Background technique

诸如电容器和二极管的非场效应晶体管(FET)元件是互补金属-氧化物半导体(CMOS)技术中的重要元件。例如,电容器用于以电场存储能量。电容器也用于在模拟电路中进行功率解耦。解耦电容器用于减少一个或多个电路元件引起的噪声。Non-field effect transistor (FET) elements such as capacitors and diodes are important elements in complementary metal-oxide semiconductor (CMOS) technology. For example, capacitors are used to store energy in an electric field. Capacitors are also used for power decoupling in analog circuits. Decoupling capacitors are used to reduce noise caused by one or more circuit components.

已经在平面化电容器器件结构方面进行了很多研究。参见例如名称为“Bi-Directional Self-Aligned FET Capacitor”的Chang等提交的美国专利申请公开号2011/0108900 A1。然而,非平面、全耗尽器件中电容器的形成仍然是产业中的挑战。Much research has been done on planarizing capacitor device structures. See, eg, US Patent Application Publication No. 2011/0108900 Al, filed by Chang et al., entitled "Bi-Directional Self-Aligned FET Capacitor." However, the formation of capacitors in non-planar, fully depleted devices remains a challenge in the industry.

因此,在非平面集成工艺流中制造电容器的技术是期望的。Therefore, techniques for fabricating capacitors in non-planar integrated process flows are desirable.

发明内容Contents of the invention

本发明提供了在全包围栅极纳米线集成流中形成双向电容器的技术。在本发明的一个方面,提供了一种制造电子器件的方法。该方法包括如下步骤。提供SOI晶片,该SOI晶片具有在BOX上的SOI层。在所述SOI层中蚀刻至少一个第一组纳米线和第一组衬垫并且在所述SOI层中蚀刻至少一个第二组纳米线和第二组衬垫,其中所述第一组衬垫附着在梯子状配置的所述第一组纳米线的相对端,并且其中所述第二组衬垫附着在梯子状配置的所述第二组纳米线的相对端。形成第一栅极叠层,所述第一栅极叠层包围用作电容器器件的沟道区的所述第一组纳米线中每一条纳米线的至少一部分,其中所述第一组纳米线的从所述栅极叠层延伸出来的部分以及所述第一组衬垫用作所述电容器器件的源极区和漏极区。形成第二栅极叠层,所述第二栅极叠层包围用作场效应晶体管(FET)器件的沟道区的所述第二组纳米线中每一条纳米线的至少一部分,其中所述第二组纳米线的从所述栅极叠层延伸出来的部分以及所述第二组衬垫用作所述FET器件的源极区和漏极区。选择性地掺杂所述FET器件的源极区和漏极区。在所述电容器器件的所述源极区和漏极区上形成第一硅化物,该第一硅化物至少延伸到所述第一栅极叠层的边缘。在所述FET器件的所述源极区和漏极区上形成第二硅化物。The present invention provides a technique for forming a bidirectional capacitor in an all-around gate nanowire integrated flow. In one aspect of the invention, a method of manufacturing an electronic device is provided. The method includes the following steps. An SOI wafer is provided having an SOI layer on a BOX. Etching at least one first set of nanowires and a first set of liners in the SOI layer and etching at least one second set of nanowires and a second set of liners in the SOI layer, wherein the first set of liners attached to opposite ends of the first set of nanowires in a ladder-like configuration, and wherein the second set of pads is attached to opposite ends of the second set of nanowires in a ladder-like configuration. forming a first gate stack surrounding at least a portion of each nanowire in the first set of nanowires serving as a channel region of a capacitor device, wherein the first set of nanowires Portions of the gate stack extending from the gate stack and the first set of pads serve as source and drain regions of the capacitor device. forming a second gate stack surrounding at least a portion of each nanowire in the second set of nanowires serving as a channel region of a field effect transistor (FET) device, wherein the Portions of the second set of nanowires extending from the gate stack and the second set of pads serve as source and drain regions of the FET device. Source and drain regions of the FET device are selectively doped. A first silicide is formed on the source and drain regions of the capacitor device, the first silicide extending at least to an edge of the first gate stack. A second silicide is formed on the source and drain regions of the FET device.

在本发明的另一个方面,提供了另一种制造电子器件的方法。该方法包括如下步骤。提供SOI晶片,该SOI晶片具有在BOX上的SOI层。在所述SOI层中蚀刻至少一个第一组纳米线和第一组衬垫并且在所述SOI层中蚀刻至少一个第二组纳米线和第二组衬垫,其中所述第一组衬垫附着在梯子状配置的所述第一组纳米线的相对端,并且其中所述第二组衬垫附着在梯子状配置的所述第二组纳米线的相对端。形成第一栅极叠层,所述第一栅极叠层包围用作电容器器件的沟道区的所述第一组纳米线中每一条纳米线的至少一部分,其中所述第一组纳米线的从所述栅极叠层延伸出来的部分以及所述第一组衬垫用作所述电容器器件的源极区和漏极区。形成第二栅极叠层,所述第二栅极叠层包围用作场效应晶体管(FET)器件的沟道区的所述第二组纳米线中每一条纳米线的至少一部分,其中所述第二组纳米线的从所述栅极叠层延伸出来的部分以及所述第二组衬垫用作所述FET器件的源极区和漏极区。对所述FET器件的源极区和漏极区以及所述电容器器件的源极区和漏极区进行掺杂。在所述电容器器件的所述源极区和漏极区上形成第一硅化物,该第一硅化物延伸到未掺杂的所述电容器器件的沟道区中。在所述FET器件的所述源极区和漏极区上形成第二硅化物。In another aspect of the invention, another method of manufacturing an electronic device is provided. The method includes the following steps. An SOI wafer is provided having an SOI layer on a BOX. Etching at least one first set of nanowires and a first set of liners in the SOI layer and etching at least one second set of nanowires and a second set of liners in the SOI layer, wherein the first set of liners attached to opposite ends of the first set of nanowires in a ladder-like configuration, and wherein the second set of pads is attached to opposite ends of the second set of nanowires in a ladder-like configuration. forming a first gate stack surrounding at least a portion of each nanowire in the first set of nanowires serving as a channel region of a capacitor device, wherein the first set of nanowires Portions of the gate stack extending from the gate stack and the first set of pads serve as source and drain regions of the capacitor device. forming a second gate stack surrounding at least a portion of each nanowire in the second set of nanowires serving as a channel region of a field effect transistor (FET) device, wherein the Portions of the second set of nanowires extending from the gate stack and the second set of pads serve as source and drain regions of the FET device. The source and drain regions of the FET device and the source and drain regions of the capacitor device are doped. A first silicide is formed on the source and drain regions of the capacitor device, the first silicide extending into an undoped channel region of the capacitor device. A second silicide is formed on the source and drain regions of the FET device.

在本发明的又一个方面中,提供了一种电子器件。该电子器件包括:在SOI层中蚀刻的至少一个第一组纳米线和第一组衬垫以及在所述SOI层中蚀刻的至少一个第二组纳米线和第二组衬垫,其中所述第一组衬垫附着在梯子状配置的所述第一组纳米线的相对端,并且其中所述第二组衬垫附着在梯子状配置的所述第二组纳米线的相对端;第一栅极叠层,其包围用作电容器器件的沟道区的所述第一组纳米线中每一条纳米线的至少一部分,其中所述第一组纳米线的从所述栅极叠层延伸出来的部分以及所述第一组衬垫用作所述电容器器件的源极区和漏极区,其中所述电容器器件的源极区和漏极区是未掺杂的;第二栅极叠层,其包围用作FET器件的沟道区的所述第二组纳米线中每一条纳米线的至少一部分,其中所述第二组纳米线的从所述栅极叠层延伸出来的部分以及所述第二组衬垫用作所述FET器件的源极区和漏极区,其中所述FET器件的源极区和漏极区是掺杂的;形成在所述电容器器件的所述源极区和漏极区上的第一硅化物,其至少延伸到所述第一栅极叠层的边缘;以及形成在所述FET器件的源极区和漏极区上的第二硅化物。In yet another aspect of the present invention, an electronic device is provided. The electronic device includes at least one first set of nanowires and first set of liners etched in an SOI layer and at least one second set of nanowires and second set of liners etched in said SOI layer, wherein said A first set of pads is attached to opposite ends of the first set of nanowires in a ladder-like configuration, and wherein the second set of pads is attached to opposite ends of the second set of nanowires in a ladder-like configuration; the first a gate stack surrounding at least a portion of each nanowire of the first set of nanowires serving as a channel region of a capacitor device, wherein nanowires of the first set of nanowires extend from the gate stack Portions of and the first set of pads serve as source and drain regions of the capacitor device, wherein the source and drain regions of the capacitor device are undoped; the second gate stack , which surrounds at least a portion of each nanowire in the second set of nanowires serving as a channel region of a FET device, wherein the portion of the second set of nanowires extending from the gate stack and the The second set of pads are used as source and drain regions of the FET device, wherein the source and drain regions of the FET device are doped; formed on the source of the capacitor device a first silicide on a region and a drain region extending at least to an edge of said first gate stack; and a second silicide formed on a source region and a drain region of said FET device.

在本发明的再一个方面中,提供了另一种电子器件。该电子器件包括:在SOI晶片的SOI层中的至少一个第一组纳米线和第一组衬垫以及在所述SOI层中蚀刻的至少一个第二组纳米线和第二组衬垫,其中所述第一组衬垫附着在梯子状配置的所述第一组纳米线的相对端,并且其中所述第二组衬垫附着在梯子状配置的所述第二组纳米线的相对端;第一栅极叠层,其包围用作电容器器件的沟道区的所述第一组纳米线中每一条纳米线的至少一部分,其中所述第一组纳米线的从所述栅极叠层延伸出来的部分以及所述第一组衬垫用作所述电容器器件的源极区和漏极区,其中所述电容器器件的源极区和漏极区是掺杂的;第二栅极叠层,其包围用作FET器件的沟道区的所述第二组纳米线中每一条纳米线的至少一部分,其中所述第二组纳米线的从所述栅极叠层延伸出来的部分以及所述第二组衬垫用作所述FET器件的源极区和漏极区,其中所述FET器件的源极区和漏极区是掺杂的;在所述电容器器件的所述源极区和漏极区上的第一硅化物,其延伸到未掺杂的所述电容器器件的沟道区中;以及在所述FET器件的所述源极区和漏极区上的第二硅化物。In yet another aspect of the present invention, another electronic device is provided. The electronic device comprises at least a first set of nanowires and a first set of pads in an SOI layer of an SOI wafer and at least a second set of nanowires and a second set of pads etched in said SOI layer, wherein said first set of pads is attached to opposite ends of said first set of nanowires in a ladder-like configuration, and wherein said second set of pads is attached to opposite ends of said second set of nanowires in a ladder-like configuration; A first gate stack surrounding at least a portion of each nanowire in the first set of nanowires serving as a channel region of a capacitor device, wherein the nanowires of the first set are drawn from the gate stack The extended portion and the first set of pads serve as source and drain regions of the capacitor device, wherein the source and drain regions of the capacitor device are doped; the second gate stack a layer surrounding at least a portion of each nanowire in the second set of nanowires serving as a channel region of a FET device, wherein the portion of the second set of nanowires extending from the gate stack and The second set of pads serves as source and drain regions of the FET device, wherein the source and drain regions of the FET device are doped; at the source of the capacitor device a first silicide on region and drain regions extending into the undoped channel region of the capacitor device; and a second silicide on the source and drain regions of the FET device thing.

通过参考下文的详细描述和附图,将获得对本发明的更完整的理解以及本发明的另外的特征和优点。A more complete understanding of the present invention, as well as additional features and advantages of the present invention, will be obtained by reference to the following detailed description and accompanying drawings.

附图说明Description of drawings

图1A是根据本发明实施例的具有掺杂的源极区和漏极区的全包围栅极纳米线电容器器件的横截面视图;1A is a cross-sectional view of an all-around gate nanowire capacitor device with doped source and drain regions in accordance with an embodiment of the present invention;

图1B是根据本发明实施例的具有未掺杂的源极区和漏极区的全包围栅极纳米线电容器器件的横截面视图;1B is a cross-sectional view of an all-around gate nanowire capacitor device with undoped source and drain regions in accordance with an embodiment of the present invention;

图2是示出绝缘体上半导体(SOI)晶片的三维图示,所述SOI晶片具有在掩埋氧化物(BOX)上的SOI层,所述SOI晶片是用于制造根据本发明实施例的全包围栅极纳米线电容器器件和纳米线场效应晶体管(FET)器件的起始平台;2 is a three-dimensional illustration showing a semiconductor-on-insulator (SOI) wafer having an SOI layer on a buried oxide (BOX) used to fabricate a full enclosure according to an embodiment of the invention. A starting platform for gate nanowire capacitor devices and nanowire field effect transistor (FET) devices;

图3是示出根据本发明实施例已经形成在SOI层上的纳米线硬掩模(一个对应于纳米线电容器器件并且另一个对应于纳米线FET器件)的三维图示;3 is a three-dimensional diagram showing nanowire hard masks (one corresponding to nanowire capacitor devices and the other corresponding to nanowire FET devices) that have been formed on the SOI layer according to an embodiment of the present invention;

图4是根据本发明实施例示出已经用于在SOI层中构图第一组和第二组纳米线和衬垫(即,第一组纳米线/衬垫对应于纳米线电容器器件,并且第二组纳米线/衬垫对应于纳米线FET器件)的硬掩模并且所述硬掩模随后已经被去除的三维图示;FIG. 4 is a diagram illustrating the first and second sets of nanowires and pads that have been used to pattern a first and second set of nanowires and pads in an SOI layer (i.e., the first set of nanowires/pads corresponds to a nanowire capacitor device, and the second set of nanowires/pads corresponds to a nanowire capacitor device, and the second set of A three-dimensional illustration of a set of nanowires/pads corresponding to the hardmask of a nanowire FET device) and that the hardmask has subsequently been removed;

图5是根据本发明实施例示出已经通过底切纳米线下方的BOX悬置在BOX上的纳米线并且所述纳米线已经被平滑的三维图示;5 is a three-dimensional illustration showing a nanowire that has been suspended on a BOX by undercutting the BOX below the nanowire and that has been smoothed, in accordance with an embodiment of the invention;

图6是示出根据本发明实施例已经被减薄的纳米线的三维图示图;Figure 6 is a three-dimensional graphical representation showing a nanowire that has been thinned according to an embodiment of the present invention;

图7是示出根据本发明实施例已经形成为以全包围栅极配置包围纳米线的栅极叠层的三维图示;FIG. 7 is a three-dimensional illustration showing a gate stack that has been formed to surround nanowires in an all-around gate configuration in accordance with an embodiment of the present invention;

图8是示出根据本发明实施例形成在纳米线电容器中的栅极叠层的一部分(相同的工艺可应用于纳米线FET器件)的剖面图;8 is a cross-sectional view showing a portion of a gate stack formed in a nanowire capacitor (the same process can be applied to a nanowire FET device) according to an embodiment of the present invention;

图9是示出根据本发明实施例已经形成在栅极叠层的相对侧的间隔物的三维图示;9 is a three-dimensional illustration showing spacers that have been formed on opposite sides of a gate stack according to an embodiment of the present invention;

图10是示出根据本发明实施例已经用于加厚纳米线和衬垫的暴露部分(纳米线的未被栅极叠层或间隔物和衬垫覆盖的那些部分——这些部分用作相应器件的源极区或漏极区)的选择性外延生长的三维图示;10 is a graph showing exposed portions of nanowires and liners that have been used to thicken nanowires and liners (those portions of nanowires that are not covered by gate stacks or spacers and liners—these portions are used as corresponding A three-dimensional illustration of the selective epitaxial growth of the device's source or drain region);

图11是示出根据本发明实施例已经形成在暴露的外延材料上的接触材料的三维图示;Figure 11 is a three-dimensional illustration showing contact material that has been formed on exposed epitaxial material in accordance with an embodiment of the present invention;

图12的横截面视图示出了,根据本发明实施例,如何通过采用控制硅化物反应的本发明的技术中的一种或多种,使所得到的接触金属硅化物延伸超过纳米线电容器器件的掺杂源/漏极区并且进入纳米线电容器器件的未掺杂区域中。Figure 12 is a cross-sectional view showing how the resulting contact metal silicide can extend beyond the nanowire capacitor by employing one or more of the present techniques of controlling silicide reaction, according to an embodiment of the present invention The source/drain regions of the device are doped and go into the undoped region of the nanowire capacitor device.

图13的横截面视图示出了,根据本发明实施例,如何通过采用控制硅化物反应的本发明的技术中的一种或多种,使所得到的接触金属硅化物保留在纳米线FET器件的掺杂源/漏极区中;Figure 13 is a cross-sectional view showing how the resulting contact metal silicide remains in the nanowire FET by employing one or more of the present techniques of controlling the silicide reaction, according to an embodiment of the present invention. In the doped source/drain region of the device;

图14的横截面视图示出了,根据本发明实施例,如何通过采用控制硅化物反应的本发明的技术中的一种或多种,使所得到的接触金属硅化物的量大于在纳米线FET器件(与图13相比)中产生的接触金属硅化物的量;Figure 14 is a cross-sectional view showing how, in accordance with an embodiment of the present invention, by employing one or more of the present techniques of controlling silicide reactions, the resulting amount of contact metal silicide is greater than that in nanometers. The amount of contact metal silicide produced in the line FET device (compared to Figure 13);

图15是图1A的器件的放大部分的横截面图示,示出了根据本发明实施例减小间隔物宽度如何增加源极/漏极区域;以及15 is a cross-sectional illustration of an enlarged portion of the device of FIG. 1A showing how reducing spacer width increases source/drain regions according to an embodiment of the invention; and

图16是图1B的器件的放大部分的横截面图示,示出了根据本发明实施例可以如何采用本发明的技术来确保形成的硅化物至少延伸到电容器器件的栅极边缘。16 is a cross-sectional illustration of an enlarged portion of the device of FIG. 1B showing how the techniques of the present invention may be employed in accordance with embodiments of the present invention to ensure that silicide is formed extending at least to the gate edge of the capacitor device.

具体实施方式detailed description

本申请提供了在全包围栅极纳米线工艺流中形成双向电容器器件的技术。首先,通过参考图1A和图1B提供本发明技术的概览。根据本发明技术的示例性实施例,双向电容器器件形成为具有两个示例性(非限制性)配置之一。在图1A示出的第一配置中,双向电容器器件具有掺杂的源极区和漏极区以及未掺杂的沟道区。为了在该掺杂源极和漏极配置中获得双向操作,根据本发明的技术,故意允许金属接触(在该情况下,将用作器件的源极电极和漏极电极的硅化物,见下文)延伸经过器件的掺杂区和未掺杂区之间的结,这允许在接触金属与器件的未掺杂部分之间形成肖特基结。The present application provides techniques for forming bidirectional capacitor devices in an all-around gate nanowire process flow. First, an overview of the present technology is provided by referring to FIGS. 1A and 1B . According to an exemplary embodiment of the present technology, a bidirectional capacitor device is formed to have one of two exemplary (non-limiting) configurations. In a first configuration shown in FIG. 1A , a bidirectional capacitor device has doped source and drain regions and an undoped channel region. In order to obtain bidirectional operation in this doped source and drain configuration, according to the technique of the present invention, metal contacts (in this case, silicides that will serve as the source and drain electrodes of the device, see below) are intentionally allowed. ) extends across the junction between the doped and undoped regions of the device, which allows the formation of a Schottky junction between the contact metal and the undoped portion of the device.

在图1B所示的第二示例性配置中,双向电容器器件是未掺杂的,即,该器件具有未掺杂的源极区、漏极区和沟道区。在这种情况下,为了获得双向操作,采用本发明的技术形成至少延伸到器件栅极的边缘的接触金属(即,硅化物源极电极和漏极电极),这允许形成肖特基结。In a second exemplary configuration shown in Figure IB, the bidirectional capacitor device is undoped, ie, the device has undoped source, drain and channel regions. In this case, to obtain bidirectional operation, the techniques of the present invention are employed to form contact metal (ie, suicide source and drain electrodes) extending at least to the edge of the device gate, which allows the formation of a Schottky junction.

通常,本工艺流包含在晶片中构图多个纳米线和衬垫(在图1A和图1B中标记为“纳米线”和“扩散衬垫”)。以全包围栅极的配置形成包围纳米线的栅极。见图1A和1B。如图1A和图1B中所示,电介质可以存在于纳米线与栅极之间,并且间隔物形成在栅极的相对侧上。Typically, this process flow involves patterning a plurality of nanowires and pads (labeled "nanowires" and "diffusion pads" in Figures 1A and 1B) in the wafer. A gate surrounding the nanowire is formed in an all-around gate configuration. See Figures 1A and 1B. As shown in FIGS. 1A and 1B , a dielectric may exist between the nanowires and the gate, with spacers formed on opposite sides of the gate.

关于图1A中所示的掺杂源极和漏极配置,使用离子注入和退火来掺杂衬垫(以及纳米线的一部分)。每条纳米线的一部分保持未掺杂——并且将用作器件的沟道区。如上面所强调的,为了获得双向操作,根据本发明的技术,故意允许金属接触(在该情况下,将用作器件的源极电极和漏极电极的硅化物,见下文)延伸经过器件的掺杂区和未掺杂区之间的结,这允许在接触金属与器件的未掺杂部分之间形成肖特基结。如将在下文中详细描述的,这可以以若干不同方式实现,该方式可以单独实施或者组合实施。肖特基结接触允许两种类型的载流子(电子和空穴)的注入,这允许电容器在反转和聚集时都工作,而正常结接触仅允许在反转模式下工作而不在聚集模式下工作。存在若干电路应用,例如片上电压转换器,其中具有在正偏置条件和负偏置条件下都工作的电容器是有利的。With respect to the doped source and drain configuration shown in Figure 1A, the liner (and part of the nanowire) was doped using ion implantation and annealing. A portion of each nanowire remains undoped—and will serve as the device's channel region. As highlighted above, in order to obtain bidirectional operation, according to the technique of the present invention, metal contacts (in this case, the silicides that will serve as the source and drain electrodes of the device, see below) are deliberately allowed to extend across the A junction between a doped and an undoped region, which allows the formation of a Schottky junction between the contact metal and the undoped portion of the device. As will be described in detail below, this can be achieved in a number of different ways, either alone or in combination. Schottky junction contacts allow the injection of both types of carriers (electrons and holes), which allows the capacitor to operate in both inversion and accumulation, while normal junction contacts only allow operation in inversion mode and not in accumulation mode down to work. There are several circuit applications, such as on-chip voltage converters, where it is advantageous to have capacitors that operate under both positive and negative bias conditions.

通常,纳米线电容器包括两个“极板”。由纳米线形成的极板之一用作源极电极和漏极电极之间的沟道。栅(电极)用作第二极板,并且用于调节沟道中的电流流动。Typically, nanowire capacitors include two "plates." One of the plates formed by the nanowires serves as a channel between the source and drain electrodes. The gate (electrode) serves as the second plate and serves to regulate the flow of current in the channel.

金属硅化物的形成包括在纳米线的暴露部分/衬垫上沉积(一种或多种)金属(镍(Ni)、钴(Co)和/或铂(Pt)中的一种或多种——例如镍铂(NiPt)),之后进行退火以使该(一种或多种)金属与纳米线和衬垫中的硅反应。该反应将取决于所采用的特定反应条件(例如,退火温度、持续时间等)。在完全反应的情况下,当实现了化学计量硅化物时该反应将停止。然而,在本工艺中不必形成化学计量硅化物。例如,即使退火条件(例如,退火温度和/或持续时间)不允许完全反应并且得到非化学计量硅化物,也可以应用本发明的技术。当所采用的退火温度和/或持续时间低于将导致化学计量硅化物的退火温度和/或持续时间时,可能导致非化学计量硅化物。非化学计量硅化物可能是“富金属的”(即,硅化物包含比化学计量硅化物更大量的金属)并且因此在该反应中消耗较少的硅。因此,可以通过控制可用于反应的金属的量和/或硅的量,控制硅化物反应。本发明的技术采用该概念来控制所形成的硅化物的量并且确保硅化物延伸到器件的未掺杂区域中。Metal silicide formation involves depositing metal(s) (one or more of Nickel (Ni), Cobalt (Co) and/or Platinum (Pt) on the exposed portion/liner of the nanowire - - eg Nickel Platinum (NiPt)), followed by annealing to react the metal(s) with the silicon in the nanowires and liners. The reaction will depend on the particular reaction conditions employed (eg, annealing temperature, duration, etc.). In the case of a complete reaction, the reaction will stop when the stoichiometric silicide is achieved. However, it is not necessary to form stoichiometric silicides in this process. For example, the techniques of the present invention can be applied even if the annealing conditions (eg, annealing temperature and/or duration) do not allow complete reaction and result in a non-stoichiometric silicide. Non-stoichiometric silicides may result when annealing temperatures and/or durations lower than those that would result in stoichiometric silicides are employed. Non-stoichiometric silicides may be "metal-rich" (ie, silicides contain larger amounts of metal than stoichiometric silicides) and thus consume less silicon in the reaction. Thus, the silicide reaction can be controlled by controlling the amount of metal and/or the amount of silicon available for the reaction. The technique of the present invention employs this concept to control the amount of silicide formed and to ensure that the silicide extends into undoped regions of the device.

有利地,本发明的技术可以在电子器件的制造中相对于晶片上的其它器件在晶片上选择性制造(一个或多个)纳米线电容器。仅举例而言,在下文描述的一个实施方式中,本方面的技术用于在同一晶片上制造(一个或多个)纳米线电容器和纳米线场效应晶体管(FET)。纳米线FET实质上作为二极管工作(单向操作)。因此,在纳米线FET二极管的情况下,期望金属接触(在这种情况下,硅化物将用作器件的源极电极和漏极电极,见下文)仅形成在器件的掺杂区中。通过与纳米线电容器器件比较,正如上文中参考图1A所描述的,期望故意允许接触金属延伸超过器件的掺杂区并且进入器件的未掺杂区。有利地,相较于FET二极管(实现单向操作),可以采用本发明的技术来选择性地控制在电容器中形成的硅化物的量(以便实现双向操作)。Advantageously, the techniques of the present invention allow the selective fabrication of nanowire capacitor(s) on a wafer relative to other devices on the wafer in the fabrication of electronic devices. By way of example only, in one embodiment described below, techniques of the present aspect are used to fabricate nanowire capacitor(s) and nanowire field effect transistors (FETs) on the same wafer. Nanowire FETs essentially operate as diodes (unidirectional operation). Therefore, in the case of nanowire FET diodes, it is desirable that the metal contacts (in which case the suicide will be used as the source and drain electrodes of the device, see below) be formed only in the doped regions of the device. By comparison with nanowire capacitor devices, as described above with reference to FIG. 1A , it is expected that the contact metal is deliberately allowed to extend beyond the doped region of the device and into the undoped region of the device. Advantageously, the techniques of the present invention can be employed to selectively control the amount of silicide formed in a capacitor (to enable bidirectional operation) compared to FET diodes (to enable unidirectional operation).

具体地,本申请中呈现了若干方法来控制硅化物工艺,这些方法包括1)使用与栅极相邻的较小的间隔物(也见在图12中提供的图1A的器件的展开图),2)提供较少的硅(例如,衬垫/纳米线上不外延或减少的外延),和/或3)沉积更多的(更厚的)金属——由此为硅化物反应提供更多金属。将参考图2-11中所示的用于在同一晶片上制造全包围栅极纳米线电容器器件和纳米线FET二极管的示例性工艺流,描述这些方法中的每一种。Specifically, several methods are presented in this application to control the silicide process including 1) using smaller spacers adjacent to the gate (see also the expanded view of the device of FIG. 1A provided in FIG. 12) , 2) provide less silicon (e.g., no epitaxy or reduced epitaxy on liners/nanowires), and/or 3) deposit more (thicker) metal - thus providing more silicon for silicide reactions polymetallic. Each of these methods will be described with reference to the exemplary process flows shown in FIGS. 2-11 for fabricating all-around gate nanowire capacitor devices and nanowire FET diodes on the same wafer.

关于图1B中示出的具有未掺杂源极区、漏极区和沟道区的纳米线电容器器件配置,如上所强调的,为了获得双向操作,故意允许金属接触(在该情况下,将用作器件的源极电极和漏极电极的硅化物,见下文)至少延伸到栅极边缘,这允许在接触金属与器件的(未掺杂)沟道区之间形成肖特基结。本发明的技术(即,1)使用与栅极相邻的较小的间隔物,2)提供较少的硅,和/或3)沉积更多的(更厚的)金属——由此为硅化物反应提供更多金属)单独实施或者可选地组合实施,可以用于修整硅化物形成工艺并且实现至少延伸到电容器器件栅极的边缘的硅化物金属接触。值得注意的是,在该示例性未掺杂源极/漏极纳米线电容器配置中,硅化物可以延伸超过栅极边缘并且进入沟道区中。见例如图16(在下文中描述)。然而,优选的是,硅化物至少延伸到栅极边缘。Regarding the nanowire capacitor device configuration shown in FIG. 1B with undoped source, drain, and channel regions, as highlighted above, in order to obtain bidirectional operation, metal contacts are intentionally allowed (in this case, the The silicide used as the source and drain electrodes of the device, see below) extends at least as far as the gate edge, which allows the formation of a Schottky junction between the contact metal and the (undoped) channel region of the device. The technique of the present invention (i.e., 1) uses smaller spacers adjacent to the gate, 2) provides less silicon, and/or 3) deposits more (thicker) metal—thereby providing The silicide reaction provides more metal) implemented alone or optionally in combination, can be used to trim the silicide formation process and achieve a silicide metal contact extending at least to the edge of the capacitor device gate. Notably, in this exemplary undoped source/drain nanowire capacitor configuration, the silicide can extend beyond the gate edge and into the channel region. See eg Figure 16 (described below). However, it is preferred that the silicide extends at least to the edge of the gate.

通过比较,在纳米线FET二极管的情况下,例如,期望将源极接触和漏极接触与栅极边缘分开。可以实施本发明的技术以高效且有效地修整硅化物反应,以例如在同一晶片上制造纳米线电容器和纳米线FET二极管器件。By comparison, in the case of nanowire FET diodes, for example, it is desirable to separate the source and drain contacts from the gate edge. The techniques of the present invention can be implemented to efficiently and effectively tailor silicide reactions to, for example, fabricate nanowire capacitors and nanowire FET diode devices on the same wafer.

现在将参考图2-11中所示的用于在同一晶片上制造全包围栅极纳米线电容器器件和全包围栅极纳米线FET二极管的示例性工艺流,详细描述本发明的技术。The techniques of the present invention will now be described in detail with reference to the exemplary process flows shown in FIGS. 2-11 for fabricating a gate-all-around nanowire capacitor device and a gate-all-around nanowire FET diode on the same wafer.

以绝缘体上半导体(SOI)晶片开始该制造过程。见图2。SOI晶片通常包括与衬底隔着绝缘体的半导体材料层(通常称为绝缘体上半导体层或SOI层)。当该绝缘体为氧化物(例如,二氧化硅(SiO2))时,通常将其称为掩埋氧化物或BOX。根据本发明的技术,SOI层将用作其中纳米线核心和衬垫将被构图的器件的有源层(见下文)。The fabrication process begins with a semiconductor-on-insulator (SOI) wafer. See Figure 2. SOI wafers typically include a layer of semiconductor material separated from a substrate by an insulator (commonly referred to as a semiconductor-on-insulator or SOI layer). When the insulator is an oxide, such as silicon dioxide (SiO 2 ), it is often referred to as a buried oxide or BOX. According to the technique of the present invention, the SOI layer will be used as the active layer of the device in which the nanowire core and liner will be patterned (see below).

在图2所示的例子中,起始晶片包括BOX 202上的SOI层204。为了便于描述,未示出通常位于BOX 202下方的衬底。根据示例性实施例,SOI层204由诸如硅(Si)(例如,晶体硅)、硅锗(SiGe)或碳化硅(SiC)形成。因此,SOI层204也可以被称为“半导体器件层”或简称为“半导体层”。In the example shown in FIG. 2 , the starting wafer includes SOI layer 204 on BOX 202 . For ease of description, the substrate that would normally be located below the BOX 202 is not shown. According to an exemplary embodiment, SOI layer 204 is formed of, for example, silicon (Si) (eg, crystalline silicon), silicon germanium (SiGe), or silicon carbide (SiC). Therefore, the SOI layer 204 may also be referred to as a "semiconductor device layer" or simply as a "semiconductor layer".

根据示例性实施例,SOI层204优选具有约5纳米(nm)到约40nm的厚度t。市场上可购买到的SOI晶片通常具有较厚的SOI层。因此,可以使用诸如氧化减薄的技术减薄商用晶片的SOI层,以实现本发明技术的期望有源层厚度。According to an exemplary embodiment, SOI layer 204 preferably has a thickness t of about 5 nanometers (nm) to about 40 nm. Commercially available SOI wafers generally have thicker SOI layers. Therefore, the SOI layer of commercial wafers can be thinned using techniques such as oxide thinning to achieve the desired active layer thickness for the inventive technique.

将在SOI层中构图纳米线。如上所提供的,纳米线将用于形成器件的(未掺杂)沟道区。因此,优选SOI层204是未掺杂的。SOI层的(源/漏)区的选择性掺杂,如果期望并且当期望时,可以在该工艺中稍后进行。在图中所示的例子中,正在晶片上制造一个纳米线电容器和一个纳米线FET二极管。这仅仅是用于图示本工艺可以如何用于在同一晶片上容易地且选择性地制造两种类型的器件的例子。当然,可以使用相同的技术来制造多个纳米线电容器和/或纳米线FET二极管(或者,仅制造纳米线电容器,如果这么期望)。The nanowires will be patterned in the SOI layer. As provided above, the nanowires will be used to form the (undoped) channel region of the device. Therefore, it is preferred that the SOI layer 204 is undoped. Selective doping of the (source/drain) regions of the SOI layer, if and when desired, can be done later in the process. In the example shown in the figure, a nanowire capacitor and a nanowire FET diode are being fabricated on the wafer. This is just an example to illustrate how this process can be used to easily and selectively fabricate two types of devices on the same wafer. Of course, the same technique can be used to fabricate multiple nanowire capacitors and/or nanowire FET diodes (or just nanowire capacitors, if so desired).

现在描述SOI层204中的纳米线的构图。如图3所示,使用标准光刻技术来形成硬掩模302a/302b(此处也称为纳米线/衬垫光刻硬掩模),其将用于在SOI层204中构图分别用于纳米线电容器/纳米线FET二极管的纳米线和衬垫。仅举例而言,可以通过在SOI层204上均厚(blanket)沉积适当的硬掩模材料(例如,诸如SiN的氮化物材料)、并且然后使用具有硬掩模302a/302b的覆盖区域(footprint)和位置的标准光刻工艺来构图该硬掩模材料,形成硬掩模302a/302b。举另一个例子(未示出),也可以使用软掩膜(例如,抗蚀剂)来在SOI层204中构图纳米线和衬垫。The patterning of the nanowires in the SOI layer 204 is now described. As shown in FIG. 3, standard photolithographic techniques are used to form hard masks 302a/302b (also referred to herein as nanowire/pad photolithographic hard masks) that will be used for patterning in SOI layer 204 for Nanowires and pads for nanowire capacitors/nanowire FET diodes. By way of example only, a suitable hardmask material (eg, a nitride material such as SiN) can be deposited over the SOI layer 204 by blanket and then using a footprint with hardmask 302a/302b ) and locations to pattern the hard mask material to form hard masks 302a/302b. As another example (not shown), a soft mask (eg, resist) can also be used to pattern the nanowires and pads in the SOI layer 204 .

如图3所示,纳米线/衬垫硬掩模均具有梯状配置。该梯状配置将被转移到有源层,其中纳米线将像互连衬垫的梯的横档那样被构图(见下文)。As shown in Figure 3, the nanowire/pad hardmasks each have a ladder-like configuration. This ladder-like configuration will be transferred to the active layer, where the nanowires will be patterned like the rungs of a ladder of interconnect pads (see below).

然后使用穿过硬掩模302a/302b的蚀刻来在SOI层204中形成纳米线和衬垫。见图4。为了清楚起见,用于制造(一个或多个)纳米线电容器器件的纳米线/衬垫在此处也可以被称为第一组纳米线/衬垫,并且用于制造(一个或多个)纳米线FET二极管器件的纳米线/衬垫在此处也可以被称为第二组纳米线/衬垫。根据一个示例性实施例,使用反应离子蚀刻(RIE)进行该蚀刻。例如,可以使用含氟化学物质(例如,CHF3/CF4)或溴化学物质进行该RIE步骤。如图3所示,该纳米线/衬垫硬掩模形成为具有梯状配置。即,该衬垫附着在像梯子的横档那样的纳米线的相对端。在该阶段可以使用选择性湿法蚀刻工艺去除硬掩模302a/302b。Nanowires and liners are then formed in the SOI layer 204 using etching through the hard mask 302a/302b. See Figure 4. For clarity, the nanowires/pads used to make the nanowire capacitor device(s) may also be referred to herein as the first set of nanowires/pads and used to make the(s) The nanowires/pads of the nanowire FET diode device may also be referred to herein as a second set of nanowires/pads. According to an exemplary embodiment, the etching is performed using reactive ion etching (RIE). For example, the RIE step can be performed using fluorine-containing chemistries (eg, CHF 3 /CF 4 ) or bromine chemistries. As shown in FIG. 3, the nanowire/pad hardmask is formed to have a ladder-like configuration. That is, the pads are attached to opposite ends of the nanowires like rungs of a ladder. The hardmask 302a/302b may be removed at this stage using a selective wet etch process.

然后纳米线悬置在BOX上。见图5。根据示例性实施例,通过使用各向同性蚀刻工艺底切纳米线下方的BOX 202,使纳米线悬置。该工艺也横向蚀刻在衬垫下方的BOX 202的部分。见图5。可以使用例如稀释的氢氟酸(DHF)进行BOX 202的各向同性蚀刻。100:1DHF在室温下每分钟蚀刻约2-3nm的BOX层202。The nanowires are then suspended on the BOX. See Figure 5. According to an exemplary embodiment, the nanowires are suspended by undercutting the BOX 202 below the nanowires using an isotropic etching process. The process also etches laterally the portion of BOX 202 that is under the liner. See Figure 5. Isotropic etching of BOX 202 may be performed using, for example, diluted hydrofluoric acid (DHF). 100:1 DHF etches about 2-3 nm of BOX layer 202 per minute at room temperature.

在BOX 202的各向同性蚀刻之后,优选平滑纳米线以赋予它们椭圆形并且在一些情况下圆形的横截面形状。可以通过例如在含氢气氛中对纳米线进行退火而进行纳米线的平滑。示例性退火温度可以为约600摄氏度(℃)到约1000℃,并且可以采用约600托到约700托的氢压力。可以在例如颁发给Bangsaruntip等的名称为“Maskless Process forSuspending and Thinning Nanowires”的美国专利申请号7,884,004中发现悬置和重新整形纳米线的示例性技术,该专利申请的全部内容通过引用的方式结合于本申请中。在该平滑过程中,将纳米线减薄。根据一个示例性实施例,该阶段的纳米线具有椭圆形横截面形状,其横截面直径为约7nm到约35nm。After isotropic etching of BOX 202, the nanowires are preferably smoothed to give them an elliptical and in some cases circular cross-sectional shape. Smoothing of the nanowires can be performed, for example, by annealing the nanowires in a hydrogen-containing atmosphere. Exemplary annealing temperatures may range from about 600 degrees Celsius (° C.) to about 1000° C., and hydrogen pressures of about 600 Torr to about 700 Torr may be employed. Exemplary techniques for suspending and reshaping nanowires can be found, for example, in U.S. Patent Application No. 7,884,004, issued to Bangsaruntip et al., entitled "Maskless Process for Suspending and Thinning Nanowires," which is incorporated by reference in its entirety. In this application. During this smoothing process, the nanowires are thinned. According to an exemplary embodiment, the nanowires at this stage have an elliptical cross-sectional shape with a cross-sectional diameter of about 7 nm to about 35 nm.

可选地,可以进一步减薄纳米线。见图6。如结合图5的描述所描述的,纳米线可以在该工艺中较早被在成形(例如,平滑)成椭圆形(例如,圆形)横截面形状。现在,可以进一步减薄纳米线,其也可以用于赋予它们更平滑的表面。Optionally, the nanowires can be further thinned. See Figure 6. As described in connection with the description of FIG. 5 , the nanowires may be reshaped (eg, smoothed) into an elliptical (eg, circular) cross-sectional shape earlier in the process. Now, the nanowires can be thinned even further, which can also be used to give them a smoother surface.

仅举例而言,纳米线还可以在该步骤中使用纳米线的高温(例如,约700℃到约1000℃)氧化以及之后所生长的氧化物的蚀刻而被减薄。该氧化和蚀刻处理可以重复x次以实现期望的纳米线尺寸。根据一个示例性实施例,在进一步减薄之后在该阶段的纳米线具有圆柱形横截面形状,该圆柱形横截面形状具有约2nm到约20nm——例如约3nm到约10nm——的横截面直径。By way of example only, the nanowires may also be thinned in this step using high temperature (eg, about 700°C to about 1000°C) oxidation of the nanowires followed by etching of the grown oxide. This oxidation and etching process can be repeated x times to achieve the desired nanowire size. According to an exemplary embodiment, the nanowire at this stage after further thinning has a cylindrical cross-sectional shape with a cross-section of about 2 nm to about 20 nm, such as about 3 nm to about 10 nm diameter.

然后分别在纳米线电容器和纳米线FET二极管器件中以全包围栅极配置围绕纳米线构图栅极叠层702a/702b。见图7。该栅极叠层将围绕将用作器件的沟道区的每个纳米线的一部分。纳米线的从该栅极叠层和衬垫延伸出来的部分将用作器件的源极区和漏极区。在该例子中,每一个栅极叠层包括全都围绕纳米线的电介质(或电介质的组合)、第一栅极材料(例如(一种或多种)金属)以及可选地第二栅极材料(例如金属或掺杂多晶硅层)(见在下文中描述的图8,其提供穿过栅极叠层之一的横截面视图)。如图7所示,由于如上所述纳米线已经被悬置在BOX上方,栅极叠层702a/702b以全包围栅极配置完全包围的每一条纳米线的至少一部分。Gate stacks 702a/702b are then patterned around the nanowires in an all-around gate configuration in nanowire capacitor and nanowire FET diode devices, respectively. See Figure 7. This gate stack will surround a portion of each nanowire that will serve as the channel region of the device. The portion of the nanowire extending from the gate stack and liner will serve as the source and drain regions of the device. In this example, each gate stack includes a dielectric (or combination of dielectrics) all surrounding the nanowire, a first gate material (eg, metal(s)), and optionally a second gate material (eg a metal or doped polysilicon layer) (see Figure 8 described below, which provides a cross-sectional view through one of the gate stacks). As shown in FIG. 7, since the nanowires have been suspended above the BOX as described above, the gate stacks 702a/702b completely surround at least a portion of each nanowire in an all-around gate configuration.

为了便于描述,在图8中示出了在纳米线电容器器件中栅极叠层702a的形成,应当理解可以以相同的方式采用相同的过程来形成纳米线FET二极管器件中的栅极叠层702b。例如,可以将相同的栅极叠层配置用于纳米线电容器和纳米线FET二极管器件。然而,这不是必须的,并且可以在每个器件中采用栅极叠层材料的特定修整,如果这样期望。给定本说明书,本领域技术人员将能够为特定给定应用配置栅极叠层材料/配置。For ease of description, the formation of gate stack 702a in a nanowire capacitor device is shown in FIG. 8, it being understood that the same process can be employed in the same manner to form gate stack 702b in a nanowire FET diode device. . For example, the same gate stack configuration can be used for both nanowire capacitor and nanowire FET diode devices. However, this is not required and specific tailoring of the gate stack material can be employed in each device if so desired. Given this description, one skilled in the art will be able to configure the gate stack material/configuration for a particular given application.

如提供了穿过栅极叠层702a的一部分的横截面视图(即,沿着线A-A')的图8中所示,根据一个示例性实施例,通过在纳米线周围沉积共形栅极电介质膜802形成栅极叠层702a/702b,该栅极电介质膜802例如是二氧化硅(SiO2)、氧氮化硅(SiON)、或氧化铪(HfO2)(或其它高K材料)。可选地,可以在栅极电介质膜802上施加包括例如HfO2的第二共形栅极电介质膜804。然后在共形栅极电介质膜802上(或者在可选的第二共形栅极电介质膜804上)沉积(第一)栅极材料806。根据一个示例性实施例,栅极材料806是包括例如氮化钽(TaN)或氮化钛(TiN)的共形金属栅极膜。As shown in Figure 8, which provides a cross-sectional view (ie, along line AA') through a portion of the gate stack 702a, according to an exemplary embodiment, by depositing a conformal gate The gate stack 702a/702b is formed by a dielectric film 802, such as silicon dioxide (SiO 2 ), silicon oxynitride (SiON), or hafnium oxide (HfO 2 ) (or other high-K materials ). Optionally, a second conformal gate dielectric film 804 comprising, for example, HfO 2 may be applied on the gate dielectric film 802 . A (first) gate material 806 is then deposited on the conformal gate dielectric film 802 (or on the optional second conformal gate dielectric film 804). According to an exemplary embodiment, the gate material 806 is a conformal metal gate film comprising, for example, tantalum nitride (TaN) or titanium nitride (TiN).

可选地,然后可以将诸如掺杂多晶硅或金属的第二栅极材料808均厚沉积在该结构上(即,在栅极材料806上以包围纳米线)。通过参考图7,然后可以在第二栅极材料808上形成硬掩模710a/710b(例如,诸如SiN的氮化物硬掩模),其中硬掩模710a对应于纳米线电容器器件的栅极线并且硬掩模710b对应于纳米线FET二极管器件的栅极线。可以使用标准构图技术来形成硬掩模710a/710b。然后通过定向蚀刻来蚀刻(一种或多种)栅极材料以及(一种或多种)电介质,得到如图7所示的栅极叠层702a/702b的直的侧壁。如果存在,也通过蚀刻去除栅极叠层上的任何剩余硬掩模。然后进行各向同性横向蚀刻以去除在第一定向蚀刻(未示出)中被遮蔽的纳米线下方的栅极材料的剩余部分。可以通过RIE或化学湿法方法完成该过程。在横向蚀刻步骤之后,分别在纳米线电容器和纳米线FET二极管器件中的悬置纳米线上形成栅极叠层702a/702b。Optionally, a second gate material 808 such as doped polysilicon or metal can then be blanket deposited over the structure (ie, over the gate material 806 to surround the nanowires). With reference to FIG. 7, a hard mask 710a/710b (e.g., a nitride hard mask such as SiN) can then be formed on the second gate material 808, wherein the hard mask 710a corresponds to the gate lines of the nanowire capacitor device And the hard mask 710b corresponds to the gate line of the nanowire FET diode device. The hardmask 710a/710b may be formed using standard patterning techniques. The gate material(s) and dielectric(s) are then etched by directional etching, resulting in straight sidewalls of the gate stack 702a/702b as shown in FIG. 7 . Any remaining hard mask on the gate stack, if present, is also removed by etching. An isotropic lateral etch is then performed to remove the remainder of the gate material beneath the nanowires masked in the first directional etch (not shown). This process can be done by RIE or chemical wet method. After the lateral etch step, gate stacks 702a/702b are formed on the suspended nanowires in the nanowire capacitor and nanowire FET diode devices, respectively.

分别在栅极叠层702a/702b的相对侧上形成间隔物902a/902b。见图9。根据一个示例性实施例,通过沉积诸如氮化硅的均厚电介质膜并且通过RIE从所有水平表面蚀刻该电介质膜,形成间隔物902a/902b。如图9中所示,所沉积的间隔物材料中的一些可以保留在底切区域中,这是因为那个区域中的RIE被衬垫阻挡。Spacers 902a/902b are formed on opposite sides of the gate stacks 702a/702b, respectively. See Figure 9. According to an exemplary embodiment, the spacers 902a/902b are formed by depositing a blanket dielectric film, such as silicon nitride, and etching the dielectric film by RIE from all horizontal surfaces. As shown in Figure 9, some of the deposited spacer material may remain in the undercut region because RIE in that region is blocked by the liner.

间隔物902a/902b用于将栅极与源极区和漏极区分开。根据本发明的技术,可以基于硅化物反应的要求修整间隔物902a和/或间隔物902b的尺寸。如上所强调的,这是本申请中描述的用于控制硅化物反应的第一种方法。基本上,间隔物902a/902b的尺寸影响多少金属可以被沉积在相应器件的源极区和漏极区上(见下文)。在本申请中,分别基于间隔物间隔物902a/902b的宽度wa和wb表征间隔物902a/902b的尺寸。因此,例如,通过将纳米线电容器器件中的间隔物宽度从wa1减小到wa2(其中wa2小于wa1),将为硅化物金属的沉积提供更大的面积。如上所述,对于一组给定反应条件和给定的用于反应的硅量,沉积更多金属将引起硅化物反应从源极区和漏极区进一步进行到器件结构中。在具有掺杂源极区和漏极区的纳米线电容器器件配置(见图1A)中,这意味着可以修整硅化物反应以继续进行经过掺杂的(源极/漏极)区域,优选消耗来自器件的未掺杂(沟道)区域(其位于掺杂区域之间)的硅。如上所述,确保所形成的硅化物从器件的掺杂区域延伸到器件的未掺杂区域,是掺杂的源极和漏极纳米线电容器器件的目标。在具有未掺杂的源极区和漏极区的纳米线电容器器件配置(见图1B)中,这意味着可以修整硅化物反应以至少进行到栅极的边缘(并且潜在地经过栅极边缘并且进入(未掺杂的)沟道区中)。Spacers 902a/902b are used to separate the gate from the source and drain regions. In accordance with the techniques of the present invention, the dimensions of spacers 902a and/or spacers 902b may be tailored based on the requirements of the silicide reaction. As highlighted above, this is the first method described in this application for controlling the silicide reaction. Basically, the size of the spacers 902a/902b affects how much metal can be deposited on the source and drain regions of the corresponding device (see below). In the present application, the size of the spacer 902a/902b is characterized based on the width wa and wb of the spacer spacer 902a/902b, respectively. Thus, for example, by reducing the spacer width in a nanowire capacitor device from wa1 to wa2 (where wa2 is smaller than wa1 ), a larger area will be provided for the deposition of suicide metal. As noted above, for a given set of reaction conditions and a given amount of silicon used for the reaction, depositing more metal will cause the silicide reaction to proceed further from the source and drain regions into the device structure. In a nanowire capacitor device configuration with doped source and drain regions (see Figure 1A), this means that the silicide reaction can be tailored to proceed with the doped (source/drain) regions, preferably depleting Silicon from the undoped (channel) region of the device, which lies between the doped regions. As mentioned above, ensuring that the silicide formed extends from the doped region of the device to the undoped region of the device is the goal of doped source and drain nanowire capacitor devices. In a nanowire capacitor device configuration with undoped source and drain regions (see Figure 1B), this means that the silicide reaction can be tailored to proceed at least to the edge of the gate (and potentially beyond the gate edge and into the (undoped) channel region).

根据示例性实施例,在间隔物RIE过程中采用屏蔽掩模(未示出)来产生具有不同宽度的间隔物。可以使用标准光刻技术以间隔物的覆盖区域(包括尺寸,例如宽度)和位置来构图屏蔽掩模。According to an exemplary embodiment, a block mask (not shown) is employed in the spacer RIE process to generate spacers with different widths. The shield mask can be patterned with the footprint (including size, eg width) and location of the spacers using standard photolithographic techniques.

通过如上所述与纳米线FET二极管器件(具有单向操作)相比较,期望使源极/漏极接触金属(即,硅化物)保留在器件的未掺杂区域中。值得注意的是,对于纳米线FET二极管配置,源极区和漏极区优选总是掺杂的。因此,如果(单独地,或者与本申请中提供的用于控制硅化物反应的其它技术中的一种或多种相结合)采用这种修整间隔物宽度的技术,则纳米线电容器器件的间隔物宽度wa将小于用于纳米线FET器件的间隔物宽度wb,即wa<wb,以确保与纳米线FET二极管相比,在纳米线电容器中沉积更大量的金属并且形成更大量的硅化物。在如下所述,叠层图12中进一步示出了这种修整间隔物宽度的技术。By comparing with nanowire FET diode devices (with unidirectional operation) as described above, it is expected that the source/drain contact metal (ie, suicide) will remain in the undoped region of the device. It is worth noting that for a nanowire FET diode configuration, the source and drain regions are preferably always doped. Therefore, if this technique of tailoring spacer widths is employed (alone, or in combination with one or more of the other techniques for controlling silicide reactions provided in this application), the spacing of the nanowire capacitor device The object width wa will be smaller than the spacer width wb for the nanowire FET device, ie wa<wb, to ensure a larger amount of metal is deposited and a larger amount of silicide is formed in the nanowire capacitor compared to the nanowire FET diode. This technique of trimming the spacer width is further illustrated in Stackup Figure 12, described below.

值得注意的是,硅化物反应依赖于多种特定于应用的因素,包括但不限于,采用的特定(一种或多种)硅化物金属、形成的硅化物的化学计量和晶体结构、退火时间和退火温度。见例如颁发给Domenicucci等的名称为“Flat Interface for a Metal-SiliconContact Barrier Film”的美国专利号6,124,639(下文中称为“Domenicucci”),该美国专利的全部内容通过引用的方式结合于本申请中。因此,对于特定设备和装置配置,包括特定材料和工艺参数,可以调整间隔物902a和/或902b的宽度直到产生适当量的硅化物(基于可以被沉积的金属的量-见上文)。It is worth noting that the silicide reaction is dependent on a variety of application-specific factors including, but not limited to, the specific silicide metal(s) employed, the stoichiometry and crystal structure of the silicide formed, the annealing time and annealing temperature. See, e.g., U.S. Patent No. 6,124,639 to Domenicucci et al., entitled "Flat Interface for a Metal-Silicon Contact Barrier Film" (hereinafter "Domenicucci"), which is hereby incorporated by reference in its entirety . Thus, for a particular device and device configuration, including particular materials and process parameters, the width of spacers 902a and/or 902b can be adjusted until an appropriate amount of silicide is produced (based on the amount of metal that can be deposited - see above).

具体地,诸如采用的(一种或多种)特定硅化物金属、形成的硅化物的化学计量和晶体结构、退火时间和退火温度,影响形成化学计量硅化物所消耗的硅-金属比率。为了形成化学计量硅化物,金属的量应当大于硅的量除以形成化学计量硅化物所消耗的硅-金属比率。见例如颁发给Talwar等的名称为“Method for Forming a Silicide Region on aSilicon Body”的美国专利号6,387,803(下文中称为“Talwar”),该美国专利的全部内容通过引用的方式结合于本申请中。可以基于相应层的厚度(例如,所考虑的是初始硅层的厚度以及金属的厚度)量化硅和金属的量,这是因为在硅化物反应过程中,金属将消耗固定量的硅。Specifically, factors such as the particular silicide metal(s) employed, the stoichiometry and crystal structure of the silicide formed, the annealing time, and the annealing temperature affect the silicon-to-metal ratio consumed to form the stoichiometric silicide. To form a stoichiometric silicide, the amount of metal should be greater than the amount of silicon divided by the silicon-to-metal ratio consumed to form the stoichiometric silicide. See, e.g., U.S. Patent No. 6,387,803 to Talwar et al., entitled "Method for Forming a Silicide Region on a Silicon Body" (hereinafter "Talwar"), which is incorporated by reference in its entirety in this application . The amount of silicon and metal can be quantified based on the thickness of the respective layers (for example, the thickness of the initial silicon layer and the thickness of the metal are considered), since the metal will consume a fixed amount of silicon during the silicide reaction.

可选地,然后,生长诸如Si、SiGe或SiC的选择性外延材料(标记为“外延”)来加厚纳米线和衬垫的暴露部分(即,未被栅极叠层或间隔物覆盖的那些部分)。见图10。该步骤是可选的,并且可以选择性地应用于晶片上的一个或多个器件(不管其它(一个或多个)器件如何)。例如,如下文中将详细描述的,在纳米线电容器器件的情况下,为硅化物反应而存在的硅的量可以被修整使得所需的外延硅减少或不需要外延硅。然而,期望在(一个或多个)纳米线FET二极管器件(如果存在)的源极和漏极中形成外延硅(或更多外延硅)。这样,更多的硅可用于(一个或多个)纳米线FET二极管器件的掺杂源/极漏极区中的硅化物反应(与纳米线电容器器件相比)。因此,在纳米线FET二极管器件的情况下,源极/漏极区中更多的硅可用于硅化物反应,这将有助于确保硅化物不扩展超出器件的掺杂区域。相反,在纳米线电容器器件的情况下,源极/漏极区中可用于硅化物反应的硅较少,这将有助于确保硅化物形成超出器件的掺杂区域并且延伸到器件的未掺杂区域(在具有掺杂的源极区和漏极区的纳米线电容器器件配置(见图1A)的情况下)或者至少延伸到栅极边缘(在具有未掺杂的源极区和漏极区的纳米线电容器器件配置(见图1B)的情况下)。Optionally, a selective epitaxial material such as Si, SiGe, or SiC (labeled "Epi") is then grown to thicken the exposed portions of the nanowires and liners (i.e., those not covered by gate stacks or spacers). those parts). See Figure 10. This step is optional and can be selectively applied to one or more devices on the wafer (regardless of the other device(s)). For example, as will be described in detail below, in the case of nanowire capacitor devices, the amount of silicon present for silicide reactions can be tailored such that less or no epitaxial silicon is required. However, it is desirable to form epitaxial silicon (or more epitaxial silicon) in the source and drain of the nanowire FET diode device(s), if present. In this way, more silicon is available for silicide reactions in the doped source/drain regions of the nanowire FET diode device(s) compared to the nanowire capacitor device. Thus, in the case of nanowire FET diode devices, more silicon in the source/drain regions is available for silicide reactions, which will help ensure that silicides do not extend beyond the doped regions of the device. Conversely, in the case of nanowire capacitor devices, less silicon is available for silicide reactions in the source/drain regions, which will help ensure that silicide formation extends beyond the doped regions of the device and into the undoped regions of the device. The impurity region (in the case of a nanowire capacitor device configuration (see Figure 1A) with doped source and drain regions) or at least extends to the gate edge (in the case of a nanowire capacitor device configuration with undoped source and drain region of the nanowire capacitor device configuration (see Figure 1B) for the case).

因此,本申请中考虑这样的实施例,其中生长外延材料以仅选择性地加厚在(一个或多个)纳米线FET二极管器件中的纳米线和衬垫的暴露部分(即,未被栅极叠层或间隔物覆盖的那些部分),以便在电容器器件中不形成外延材料。此外,如上所提供的,对工艺进行修整以在一个或多个器件中实现选择性外延生长将在本领域技术人员的能力范围内。在这种情况下,纳米线电容器的源极区和漏极区可以是未掺杂的(而纳米线FET的源极区和漏极区是掺杂的)。在未掺杂纳米线电容器器件的情况下,可以采用本申请中描述的关于修整间隔物宽度、修整可用于硅化物反应的金属的量和/或修整可用于硅化物反应的硅的量的相同的工艺。即,通过修整所产生的硅化物的量,期望在纳米线电容器器件中相较于在纳米线FET器件中产生更大量的硅化物,将对电容器的外在(外部)电阻有影响。Accordingly, embodiments are contemplated in this application where the epitaxial material is grown to selectively thicken only the exposed portions (i.e., not gated) of the nanowires and pads in the nanowire FET diode device(s). electrode stacks or those covered by spacers) so that no epitaxial material is formed in the capacitor device. Furthermore, as provided above, it will be within the ability of those skilled in the art to tailor the process to achieve selective epitaxial growth in one or more devices. In this case, the source and drain regions of the nanowire capacitor may be undoped (whereas the source and drain regions of the nanowire FET are doped). In the case of undoped nanowire capacitor devices, the same principles described in this application for tailoring the spacer width, tailoring the amount of metal available for silicide reactions, and/or tailoring the amount of silicon available for silicide reactions can be applied. craft. That is, by tailoring the amount of silicide produced, it is expected that a larger amount of silicide produced in a nanowire capacitor device than in a nanowire FET device will have an effect on the extrinsic (external) resistance of the capacitor.

该生长工艺可能涉及外延生长,例如,可以是n-型或p-型掺杂的原位掺杂Si、SiGe或SiC。原位掺杂外延生长工艺形成纳米线电容器器件的掺杂区域。参考图1A,其示出了这些掺杂区域具有阴影图案。相对照而言,图1B示出了电容器器件中的源极区和漏极区是未掺杂的。值得注意的是,在任一种情况下,如果纳米线FET二极管被共同制造在同一晶片上,则二极管将优选具有掺杂的源极区和漏极区。The growth process may involve epitaxial growth, eg, in situ doped Si, SiGe or SiC, which may be n-type or p-type doped. The in-situ doped epitaxial growth process forms the doped regions of the nanowire capacitor device. Referring to FIG. 1A, it is shown that these doped regions have a hatched pattern. In contrast, FIG. 1B shows that the source and drain regions in the capacitor device are undoped. It is worth noting that in either case, if the nanowire FET diodes are co-fabricated on the same wafer, the diodes will preferably have doped source and drain regions.

仅举例而言,可以使用化学气相沉积(CVD)反应器来进行外延生长。例如,对于硅外延,前体包括,但不限于,SiCl4、SiH4结合HCL。氯的使用允许仅在暴露的硅上选择性沉积硅。用于SiGe生长的前体可以是GeH4,其可以在没有HCL的情况下获得沉积选择性。用于掺杂剂的前体可以包括用于n-型掺杂的PH3或AsH3以及用于p-型掺杂的B2H6。纯硅沉积的沉积温度可以为约550℃到约1000℃,纯Ge沉积的沉积温度可以低至300℃。By way of example only, epitaxial growth can be performed using a chemical vapor deposition (CVD) reactor. For example, for silicon epitaxy, precursors include, but are not limited to, SiCl4, SiH4 in combination with HCL . The use of chlorine allows selective deposition of silicon only on exposed silicon. The precursor for SiGe growth can be GeH4 , which can achieve deposition selectivity without HCL. Precursors for dopants may include PH 3 or AsH 3 for n-type doping and B 2 H 6 for p-type doping. Deposition temperatures may range from about 550°C to about 1000°C for pure silicon deposition and as low as 300°C for pure Ge deposition.

根据示例性实施例,在外延步骤过程中采用屏蔽掩模(未示出)以选择性地形成具有变化的尺寸/量的外延区域。可以使用标准光刻技术形成该屏蔽掩模。例如,屏蔽掩模可以形成在(一个或多个)电容器器件的源极区和漏极区上,并且因此外延可以选择性地生长在(一个或多个)纳米线FET二极管器件的源极区和漏极区上。如果未掺杂纳米线电容器源极区和漏极区是目标(见,例如图1B),则外延过程可以在此结束,结果是与纳米线电容器器件相比更大量的硅(由于外延)存在于纳米线FET二极管的源极区和漏极区中,因此确保了硅化物反应在纳米线电容器器件中进行得更远。通过不在(一个或多个)电容器器件的源极区和漏极区上进行外延,这些区域将保持未掺杂(见图1B)。According to an exemplary embodiment, a block mask (not shown) is employed during the epitaxy step to selectively form epitaxy regions of varying size/amount. The block mask can be formed using standard photolithographic techniques. For example, a block mask can be formed on the source and drain regions of the capacitor device(s) and thus epitaxy can be selectively grown on the source region of the nanowire FET diode device(s) and on the drain region. If undoped nanowire capacitor source and drain regions are targeted (see, e.g., Figure 1B), the epitaxy process can end here, with the result that a larger amount of silicon (due to epitaxy) is present compared to nanowire capacitor devices. in the source and drain regions of the nanowire FET diode, thus ensuring that the silicide reaction proceeds further in the nanowire capacitor device. By not performing epitaxy on the source and drain regions of the capacitor device(s), these regions will remain undoped (see FIG. 1B ).

另一方面,如果掺杂的纳米线电容器源极区和漏极区是目标(见,例如图1A),则可以进行第二外延,其中该屏蔽掩模被去除并且同时在电容器和二极管器件的源极区和漏极区上进行外延。因此,由于在(一个或多个)纳米线FET二极管器件的源极区和漏极区上进行多轮外延,于是与基于上述工艺只经历一轮外延的(一个或多个)纳米线电容器器件的源极区和漏极区相比,更多的外延材料将形成在这些区域中。此外,这将确保硅化物反应在纳米线电容器器件中进行得更远。On the other hand, if doped nanowire capacitor source and drain regions are the target (see, e.g., FIG. Epitaxy is performed on the source and drain regions. Therefore, due to the multiple rounds of epitaxy on the source and drain regions of the nanowire FET diode device(s), it is comparable to a nanowire capacitor device(s) that undergoes only one round of epitaxy based on the process described above. More epitaxial material will be formed in these regions than in the source and drain regions. Furthermore, this will ensure that the silicide reaction proceeds farther in the nanowire capacitor device.

如上所提供的,用于硅化物反应而存在的硅的量可以被选择性地修整以确保:1)在(一个或多个)纳米线电容器的情况下,所形成的硅化物从器件的掺杂的(源极/漏极)区域延伸到器件的未掺杂区域中(在具有掺杂的源极区和漏极区的纳米线电容器器件配置的情况下(见图1A))或者至少延伸到栅极边缘(在具有未掺杂源极区和漏极区的纳米线电容器配置的情况下(见图1B));2)在(一个或多个)纳米线FET二极管(如果存在)的情况下,硅化物形成被限制于器件的掺杂(源极/漏极)区域。因为,如上所述,对于给定的一组反应条件和给定量的沉积金属,改变用于反应的存在的硅的量将影响产生的硅化物的量。例如,在(一个或多个)纳米线电容器器件的情况下,减少用于反应的存在的硅的量,将使得硅化物反应经过掺杂的(源极/漏极)区域进行,优选地消耗位于器件的未掺杂(沟道)区域中的硅(在具有掺杂的源极区和漏极区的纳米线电容器器件配置(见图1A)的情况下),或者消耗硅至少到栅极边缘(在具有未掺杂的源极区和漏极区的纳米线电容器器件配置(见图1B)的情况下)。在(一个或多个)纳米线FET二极管器件-如果存在(再次,对于给定量的沉积金属)中采用更大量的硅,将把硅化物反应限制于器件的掺杂的源极区和漏极区。可以使用一个简单的例子来说明该概念。如果在纳米线电容器器件和纳米线FET二极管器件的源/漏极区中都存在X量的金属,并且如果在纳米线电容器器件的源/漏极区中存在Y量的硅并且在纳米线FET二极管器件中存在Z量的硅,其中Y<Z,并且在两种器件中在相同的条件(在相同的温度下退火相同的持续时间)下进行硅化物反应,则在源/漏极区外的硅被消耗之前可以在纳米线FET器件的源/漏极区中形成更大量的硅化物。理想地,修整存在于每个器件中的用于反应的金属/硅的量,使得在一组给定的条件(即,退火温度/持续时间)下的反应产生:1)从(一个或多个)纳米线电容器器件的掺杂的源/漏极区延伸到其未掺杂区域中的硅化物(在具有掺杂源极区和漏极区的纳米线电容器器件配置的情况下(见图1A)),或者消耗硅直到栅极边缘(在具有未掺杂的源极区和漏极区的纳米线电容器器件配置的情况下(见图1B));以及2)仅在(一个或多个)纳米线FET二极管器件的源极区和漏极区中的硅化物。因此,在该步骤中形成的外延硅的量——如果存在(见上文)——依赖于期望的最终结果硅化物反应。实践中,形成的外延硅的量可以通过生长时间和温度(生长温度影响生长速率)被控制,并且可以被本领域普通技术人员修整从而在(一个或多个)纳米线电容器器件中相较于在(一个或多个)纳米线FET二极管器件中产生不同量的外延硅。As provided above, the amount of silicon present for the silicide reaction can be selectively tailored to ensure that: 1) in the case of nanowire capacitor(s), the formed silicide is free from doped The doped (source/drain) regions extend into the undoped region of the device (in the case of a nanowire capacitor device configuration with doped source and drain regions (see FIG. 1A )) or at least to the gate edge (in the case of a nanowire capacitor configuration with undoped source and drain regions (see Figure 1B)); 2) at the edge of the (one or more) nanowire FET diodes (if present) In this case, silicide formation is restricted to the doped (source/drain) regions of the device. Because, as stated above, for a given set of reaction conditions and a given amount of deposited metal, varying the amount of silicon present for the reaction will affect the amount of silicide produced. For example, in the case of a nanowire capacitor device(s), reducing the amount of silicon present for the reaction will allow the silicide reaction to proceed through the doped (source/drain) regions, preferably consuming Silicon located in the undoped (channel) region of the device (in the case of a nanowire capacitor device configuration (see Figure 1A) with doped source and drain regions), or depleting silicon at least to the gate Edge (in the case of a nanowire capacitor device configuration (see FIG. 1B ) with undoped source and drain regions). Employing a greater amount of silicon in the nanowire FET diode device(s) - if present (again, for a given amount of deposited metal) will limit the silicide reaction to the doped source and drain regions of the device Area. A simple example can be used to illustrate the concept. If X amount of metal is present in the source/drain region of both the nanowire capacitor device and the nanowire FET diode device, and if Y amount of silicon is present in the source/drain region of the nanowire capacitor device and in the nanowire FET Z amount of silicon is present in the diode device, where Y<Z, and the silicide reaction is performed under the same conditions (annealed at the same temperature for the same duration) in both devices, then outside the source/drain regions A larger amount of silicide can form in the source/drain regions of the nanowire FET device before the silicon is consumed. Ideally, the amount of metal/silicon present in each device for the reaction is tailored such that the reaction under a given set of conditions (i.e., annealing temperature/duration) yields: 1) from (one or more a) the doped source/drain regions of the nanowire capacitor device extending to the silicide in its undoped region (in the case of a nanowire capacitor device configuration with doped source and drain regions (see Fig. 1A)), or consume silicon up to the gate edge (in the case of a nanowire capacitor device configuration with undoped source and drain regions (see Figure 1B)); and 2) only at (one or more a) silicide in the source and drain regions of a nanowire FET diode device. Therefore, the amount of epitaxial silicon formed in this step - if present (see above) - depends on the desired end result silicide reaction. In practice, the amount of epitaxial silicon formed can be controlled by growth time and temperature (growth temperature affects growth rate), and can be tailored by one of ordinary skill in the art so that in nanowire capacitor device(s) compared to Different amounts of epitaxial silicon were produced in the nanowire FET diode device(s).

如上所述,硅化物反应依赖于多种特定于应用的因素,包括但不限于,采用的特定(一种或多种)硅化物金属、形成的硅化物的化学计量和晶体结构、退火时间和退火温度。见例如Domenicucci。这些因素影响形成化学计量硅化物所消耗的硅-金属比率。因此,对于特定设备和器件配置,包括特定材料和工艺参数,可以调整形成的外延硅的量直到产生适当量的硅化物。确定外延硅的量将在本领域技术人员的能力范围内。As noted above, the silicide reaction depends on a variety of application-specific factors, including, but not limited to, the particular silicide metal(s) employed, the stoichiometry and crystal structure of the silicide formed, annealing time, and annealing temperature. See eg Domenicucci. These factors affect the silicon-to-metal ratio consumed to form the stoichiometric silicide. Thus, for a particular device and device configuration, including particular materials and process parameters, the amount of epitaxial silicon formed can be adjusted until an appropriate amount of silicide is produced. Determining the amount of epitaxial silicon will be within the capabilities of those skilled in the art.

值得注意的是,本申请中描述的用于修整硅化物工艺的方法不必彼此独立地使用。例如,上文中描述的修整间隔物宽度的技术可以单独使用,或者与现在描述的用于控制可用于反应的外延硅的量的方法和/或下文中描述的沉积用于硅化物反应的更大/更少量金属的方法组合使用。仅举例而言,情况可能是(对于一组给定的参数),按比例缩小间隔物宽度增加了所形成的硅化物延伸到(一个或多个)纳米线电容器器件中的量,但不是足够的(即,硅化物并未延伸足够远以超过掺杂/未掺杂结或者并未延伸到栅极的边缘)。因此,可以附加地(如上所述)采用用于硅化物反应的硅量的减少和/或沉积金属量的增加,以进一步精细调整该反应。It is worth noting that the methods described in this application for trimming a silicide process do not have to be used independently of each other. For example, the technique of trimming the spacer width described above can be used alone or with the method now described for controlling the amount of epitaxial silicon available for reaction and/or the method described below for depositing larger silicon for silicide reactions. / less metal method combination. By way of example only, it may be the case (for a given set of parameters) that scaling down the spacer width increases the amount of silicide formed that extends into the nanowire capacitor device(s), but not sufficiently (ie, the silicide does not extend far enough beyond the doped/undoped junction or to the edge of the gate). Thus, a reduction in the amount of silicon used for the silicide reaction and/or an increase in the amount of deposited metal can additionally be employed (as described above) to further fine tune the reaction.

最后,接触材料,在这种情况下硅化物1102a/1102b(由外延Si、SiGe或SiC形成——在进行了可选的外延的区域中,和/或由纳米线/衬垫SOI材料形成——在未进行外延的区域中)形成在暴露的纳米线/衬垫材料(其上有或没有外延材料)上。见图11。值得注意的是,图11描绘了示例性的情形,其中外延材料生长在纳米线电容器和纳米线FET二极管器件二者的源极区和漏极区上。这仅仅是示例性的,因为如上所述,在一些实施例中,不在(一个或多个)电容器器件的源极区和漏极区上进行外延。此外,如上文中强调的,外延材料的量,如果形成在两种类型的器件上,可以是选择性不同的,以便控制现在描述的硅化物反应。Finally, the contact material, in this case silicide 1102a/1102b (formed from epitaxial Si, SiGe or SiC - in regions where optional epitaxy is performed, and/or from nanowire/liner SOI material - - in the non-epitaxial regions) formed on the exposed nanowire/liner material (with or without epitaxial material thereon). See Figure 11. Notably, FIG. 11 depicts an exemplary situation in which epitaxial material is grown on the source and drain regions of both nanowire capacitor and nanowire FET diode devices. This is exemplary only because, as mentioned above, in some embodiments, no epitaxy is performed on the source and drain regions of the capacitor device(s). Furthermore, as highlighted above, the amount of epitaxial material, if formed on the two types of devices, can be selectively different in order to control the silicide reactions now described.

接触材料的例子包括但不限于硅化镍、硅化钴或硅化铂。仅举例而言,形成温度可以为约400℃到约600℃。如上所述,硅化物工艺涉及使沉积的(一种或多种)金属(例如镍和/或钴)与硅反应(例如,SOI纳米线/衬垫材料和/或在先前步骤中形成的外延硅)。通过采用用于形成的(一个或多个)纳米线电容器器件的本发明的技术(为了形成双向纳米线电容器),期望硅化物形成为延伸穿过器件的掺杂(源/漏极)区域并且延伸到器件的未掺杂(纳米线沟道)区域中(在纳米线电容器器件配置具有掺杂源极区和漏极区的情况下(见图1A))或者至少延伸到栅极边缘(在纳米线电容器器件配置具有未掺杂源极区和漏极区的情况下(见图1B))。对照而言,对于形成的(一个或多个)纳米线FET二极管器件,期望将硅化物的形成限制于器件的掺杂源/漏极区。Examples of contact materials include, but are not limited to, nickel silicide, cobalt silicide, or platinum silicide. By way of example only, the formation temperature may be from about 400°C to about 600°C. As mentioned above, the silicide process involves reacting deposited metal(s) (eg nickel and/or cobalt) with silicon (eg SOI nanowire/liner material and/or epitaxy formed in a previous step silicon). By employing the techniques of the present invention for forming a nanowire capacitor device(s) (for forming a bidirectional nanowire capacitor), it is desired that the silicide be formed to extend across the doped (source/drain) regions of the device and extends into the undoped (nanowire channel) region of the device (in the case of a nanowire capacitor device configuration with doped source and drain regions (see Figure 1A)) or at least to the gate edge (in The case of the nanowire capacitor device configuration with undoped source and drain regions (see FIG. 1B)). In contrast, for the formed nanowire FET diode device(s), it is desirable to confine silicide formation to the doped source/drain regions of the device.

如上所提供的,可以修整用于硅化物反应而存在的金属的量,以确保形成的硅化物从(一个或多个)纳米线电容器器件的器件掺杂(源/漏)区域延伸到器件的未掺杂区域中(在具有掺杂源极区和漏极区的纳米线电容器器件配置的情况下(见图1A)),或者至少延伸到栅极边缘(在具有未掺杂源极区和漏极区的纳米线电容器器件配置的情况下(见图1B)),仍留在(一个或多个)纳米线FET器件的掺杂源/漏极区中。对于给定的一组反应条件(退火温度/持续时间)以及给定量的硅,增加/减少存在的金属的量将改变硅化物反应。可以使用与以上提供的例子相似的例子来说明该概念。如果在纳米线电容器器件和纳米线FET二极管器件的源/漏极区中都存在X量的硅,并且如果在纳米线电容器器件的源/漏极区中存在Y量的金属并且在纳米线FET二极管器件中存在Z量的金属,其中Y>Z,并且在两种器件中在相同的条件(在相同的温度下退火相同的持续时间)下进行硅化物反应,则纳米线电容器器件的源/漏极区中较大的金属-硅比率将导致源/漏极区外的硅在反应中被消耗。理想地,修整存在于每个器件中的用于反应的金属/硅的量,使得在一组给定的条件(即,退火温度/持续时间)下的反应产生:1)从(一个或多个)纳米线电容器器件的掺杂源/漏极区延伸到其未掺杂区域中的硅化物,或者至少延伸到栅极边缘的硅化物;以及2)仅在(一个或多个)纳米线FET二极管器件的源极区和漏极区中的硅化物。如上文中刚刚描述的例子中所说明的,增加存在的金属的量可以用于使得硅化物反应经过源/漏极区进行,优选消耗器件的未掺杂区域中的硅。因此,在该步骤中沉积的金属的量依赖于期望最终结果硅化物反应。As provided above, the amount of metal present for the silicide reaction can be tailored to ensure that the silicide formed extends from the device doped (source/drain) regions of the nanowire capacitor device(s) to the device's in the undoped region (in the case of a nanowire capacitor device configuration with doped source and drain regions (see Figure 1A)), or at least extending to the gate edge (in the case of a In the case of the nanowire capacitor device configuration in the drain region (see FIG. 1B ), the doped source/drain regions of the nanowire FET device(s) remain. For a given set of reaction conditions (annealing temperature/duration) and a given amount of silicon, increasing/decreasing the amount of metal present will alter the silicide reaction. An example similar to the one provided above can be used to illustrate the concept. If X amount of silicon is present in the source/drain region of both the nanowire capacitor device and the nanowire FET diode device, and if Y amount of metal is present in the source/drain region of the nanowire capacitor device and in the nanowire FET In the presence of Z amount of metal in the diode device, where Y>Z, and the silicide reaction is performed under the same conditions (annealing at the same temperature for the same duration) in both devices, the source/ A larger metal-to-silicon ratio in the drain region will cause silicon outside the source/drain region to be consumed in the reaction. Ideally, the amount of metal/silicon present in each device for the reaction is tailored such that the reaction under a given set of conditions (i.e., annealing temperature/duration) yields: 1) from (one or more 1) the doped source/drain region of the nanowire capacitor device extends into the silicide in its undoped region, or at least to the gate edge; and 2) only in the nanowire(s) Silicide in the source and drain regions of FET diode devices. As illustrated in the example immediately above, increasing the amount of metal present can be used to allow silicide reactions to proceed through the source/drain regions, preferably consuming silicon in undoped regions of the device. Therefore, the amount of metal deposited in this step depends on the desired end result silicide reaction.

如上所述,硅化物反应依赖于多种特定于应用的因素,包括但不限于,采用的特定(一种或多种)硅化物金属、形成的硅化物的化学计量和晶体结构、退火时间和退火温度。见例如Domenicucci。这些因素影响形成化学计量硅化物所消耗的硅-金属比率。因此,对于特定设备和器件配置,包括特定材料和工艺参数,可以调整沉积的金属的量直到产生适当量的硅化物。确定要沉积的金属的量将在本领域技术人员的能力范围内。As noted above, the silicide reaction depends on a variety of application-specific factors, including, but not limited to, the particular silicide metal(s) employed, the stoichiometry and crystal structure of the silicide formed, annealing time, and annealing temperature. See eg Domenicucci. These factors affect the silicon-to-metal ratio consumed to form the stoichiometric silicide. Thus, for a particular device and device configuration, including particular materials and process parameters, the amount of deposited metal can be adjusted until an appropriate amount of silicide is produced. Determining the amount of metal to be deposited will be within the ability of those skilled in the art.

如图11所示,在该步骤中,硅化物也形成在栅极叠层上以形成栅极电极。这是期望的结果。然而,可能不期望在栅极叠层上沉积任何额外的金属,和/或者可能不期望在(一个或多个)纳米线FET二极管器件——如果存在——上沉积任何额外的金属。通过比较,如上所述,可能期望在(一个或多个)纳米线电容器器件的源/漏极区上沉积额外的硅化物形成金属以确保所得到的硅化物延伸到器件的未掺杂(沟道)区域中(图1A)或者确保所得到的硅化物至少延伸到栅极边缘(图1B)。As shown in FIG. 11, in this step, silicide is also formed on the gate stack to form the gate electrode. This is the desired result. However, it may not be desirable to deposit any additional metal on the gate stack, and/or it may not be desirable to deposit any additional metal on the nanowire FET diode device(s), if present. By comparison, as noted above, it may be desirable to deposit additional silicide-forming metal on the source/drain regions of the nanowire capacitor device(s) to ensure that the resulting silicide extends to the undoped (channel (Figure 1A) or ensure that the resulting silicide extends at least to the gate edge (Figure 1B).

根据一个示例性实施例,在硅化物金属沉积过程中采用屏蔽掩模(未示出)以在(一个或多个)纳米线电容器器件中相较于在(一个或多个)纳米线FET二极管器件中沉积不同量的金属以用于源/漏极接触形成。可以使用标准光刻技术来构图屏蔽掩模。例如,屏蔽掩模可以形成为阻挡(一个或多个)纳米线FET二极管器件的源极区和漏极区,并且因此允许在(一个或多个)纳米线电容器器件的源极区和漏极区上选择性沉积硅化物金属。可以去除该屏蔽掩模并且可以同时对纳米线电容器和纳米线FET二极管器件执行硅化物金属的第二沉积。结果将是较大量的硅化物金属沉积在(一个或多个)纳米线电容器器件上,这是因为该(一个或多个)纳米线电容器器件将经历多个金属沉积步骤,而该(一个或多个)纳米线FET二极管器件由于屏蔽掩模的原因将仅经历单个金属沉积步骤。这将确保与该(一个或多个)纳米线FET二极管器件相比,形成的硅化物将从该(一个或多个)电容器器件的源极区和漏极区更远地延伸到器件中。According to an exemplary embodiment, a shadow mask (not shown) is employed during the suicide metal deposition process in nanowire capacitor device(s) compared to nanowire FET diode(s) Various amounts of metal were deposited in the device for source/drain contact formation. The shield mask can be patterned using standard photolithographic techniques. For example, a block mask can be formed to block the source and drain regions of the nanowire FET diode device(s) and thus allow the source and drain regions of the nanowire capacitor device(s) to Silicide metal is selectively deposited on the region. The block mask can be removed and a second deposition of suicide metal can be performed on the nanowire capacitor and nanowire FET diode devices simultaneously. The result will be a larger amount of silicide metal deposited on the nanowire capacitor device(s) since the nanowire capacitor device(s) will go through multiple metal deposition steps while the (one or more) Multiple) nanowire FET diode devices will only undergo a single metal deposition step due to the shadow mask. This will ensure that the silicide formed will extend farther into the device from the source and drain regions of the capacitor device(s) than the nanowire FET diode device(s).

此外,本申请中描述的用于修整硅化物工艺的方法不必彼此独立地使用。例如,上文描述的修整间隔物宽度和/或控制外延硅的量的技术可以单独使用或者与现在描述的控制可用于反应的金属的量的方法相结合。Furthermore, the methods described in this application for trimming a silicide process do not have to be used independently of each other. For example, the techniques described above for tailoring spacer widths and/or controlling the amount of epitaxial silicon can be used alone or in combination with the now described method for controlling the amount of metal available for reaction.

类似于图1A,图12是本发明纳米线电容器器件结构的横截面剖面图,例如,其中电容器器件的源极区和漏极区都是掺杂的示例性配置中沿线A1-A2(见图11)的纳米线电容器器件的横截面剖面图。如图12中所示,基于采用上述的控制硅化物反应的方法中的一种或多种,所得到的接触金属硅化物延伸超过纳米线电容器器件的掺杂(源/漏极)区并且进入纳米线电容器器件的未掺杂(沟道)区。与图1A中相同,用阴影图案表示掺杂区域。以虚线勾勒出硅化物区域。Similar to FIG. 1A, FIG. 12 is a cross-sectional profile view of a nanowire capacitor device structure of the present invention, for example, along line A1-A2 in an exemplary configuration in which both the source region and the drain region of the capacitor device are doped (see FIG. 11) Cross-sectional profile of the nanowire capacitor device. As shown in FIG. 12, based on employing one or more of the methods of controlling silicide reactions described above, the resulting contact metal silicide extends beyond the doped (source/drain) regions of the nanowire capacitor device and into Undoped (channel) region of a nanowire capacitor device. As in FIG. 1A , doped regions are indicated with hatched patterns. The silicide regions are outlined with dashed lines.

如贯穿说明书所强调的,本发明的技术可用于在纳米线电容器器件中相较于在同一晶片上制造的其它单向器件(例如,纳米线FET二极管器件)选择性地实现双向操作。该选择性通过产生如下硅化物实现:1)从(一个或多个)纳米线电容器器件的掺杂源/漏极区延伸到其未掺杂区域中的硅化物(在具有掺杂源极区和漏极区的纳米线电容器器件配置的情况下(见图1A)),或者至少延伸到栅极边缘的硅化物(在具有未掺杂源极区和漏极区的纳米线电容器器件配置的情况下(见图1B));以及2)仅在(一个或多个)纳米线FET二极管器件的源极区和漏极区中的硅化物。图13是本发明纳米线FET二极管器件结构的横截面剖面图,例如沿着线B1-B2(见图11)的纳米线FET器件的横截面剖面图。如图13中所示,基于采用上述的控制硅化物反应的方法中的一种或多种,所得到的接触金属硅化物保留在纳米线FET二极管器件的掺杂(源/漏极)区中。与图1相同,用阴影图案表示掺杂区域。以虚线勾勒出硅化物区域。As emphasized throughout the specification, the techniques of the present invention can be used to selectively enable bidirectional operation in nanowire capacitor devices compared to other unidirectional devices fabricated on the same wafer (eg, nanowire FET diode devices). This selectivity is achieved by creating the following silicides: 1) silicides extending from the doped source/drain regions of the nanowire capacitor device(s) into its undoped regions (with doped source regions In the case of a nanowire capacitor device configuration with undoped source and drain regions (see Figure 1A)), or at least the silicide extending to the gate edge (in the case of a nanowire capacitor device configuration with undoped source and drain regions case (see FIG. 1B)); and 2) silicide only in the source and drain regions of the nanowire FET diode device(s). 13 is a cross-sectional view of a nanowire FET diode device structure of the present invention, for example, a cross-sectional view of a nanowire FET device along line B1-B2 (see FIG. 11 ). As shown in FIG. 13, the resulting contact metal silicide remains in the doped (source/drain) regions of the nanowire FET diode device based on employing one or more of the methods described above for controlling the silicide reaction. . As in Fig. 1, doped regions are indicated by hatching patterns. The silicide regions are outlined with dashed lines.

如上所述,根据本申请中提供的一些示例性实施例,该(一个或多个)纳米线电容器器件的源极区和漏极区是未掺杂的,而该(一个或多个)纳米线FET二极管器件的源极区和漏极区是选择性掺杂的。在该例子中,采用本发明的修整间隔物宽度和/或修整可用于硅化物反应的金属量和/或修整可用于硅化物反应的硅的量的技术,来在该(一个或多个)纳米线电容器器件中相较于在该(一个或多个)纳米线FET器件中产生更大量的硅化物,从而确保在该(一个或多个)纳米线电容器中产生的硅化物至少延伸到栅极边缘。如上所述,这将对电容器的外在(外部)电阻有有益影响。也如上所提供的,例如基于反应条件(例如,退火持续时间、温度等)的硅化物反应,可以完全进行,得到化学计量硅化物,或者其可以是不完全反应,例如形成富金属的硅化物。无论如何,对于一组给定的硅化物反应条件(假设将与(一个或多个)纳米线FET器件相同的硅化物反应条件用于(一个或多个)纳米线电容器器件),采用本发明的技术将导致在纳米线电容器器件中相比于在纳米线FET二极管器件中形成更大量的硅化物。可以使用常规分析方法容易地量化所形成的硅化物的量,以验证本发明工艺的结果。As mentioned above, according to some exemplary embodiments provided in the present application, the source region and the drain region of the nanowire capacitor device(s) are undoped, and the nanowire capacitor(s) The source and drain regions of the line FET diode devices are selectively doped. In this example, techniques of trimming spacer widths and/or trimming the amount of metal available for silicide reactions and/or trimming the amount of silicon available for silicide reactions are employed in the (one or more) A greater amount of silicide is generated in the nanowire capacitor device(s) than in the nanowire FET device(s), thereby ensuring that the silicide generated in the nanowire capacitor(s) extends at least as far as the gate extreme edge. As mentioned above, this will have a beneficial effect on the extrinsic (external) resistance of the capacitor. Also as provided above, the silicide reaction, e.g. based on the reaction conditions (e.g., annealing duration, temperature, etc.), can proceed completely, resulting in a stoichiometric silicide, or it can be an incomplete reaction, e.g., forming a metal-rich silicide . Regardless, for a given set of silicide reaction conditions (assuming the same silicide reaction conditions are used for the nanowire FET device(s) as for the nanowire capacitor device(s), using the present invention The technique will result in a larger amount of silicide formation in nanowire capacitor devices than in nanowire FET diode devices. The amount of silicide formed can be readily quantified using conventional analytical methods to verify the results of the inventive process.

图14是本发明纳米线电容器器件结构的横截面剖面图,例如沿着线A1-A2(见图11)的纳米线电容器器件的横截面剖面图。通过与图12中示出的绘图相比较,在图14中,示出了其中纳米线电容器器件是未掺杂的示例性配置。如图14中所示,基于采用上述控制硅化物反应的方法中的一种或多种,所得到的接触金属硅化物的量大于在(一个或多个)纳米线FET器件中形成的量(比较图14中示出的纳米线电容器器件的剖面图与图13中示出的纳米线FET的剖面图)。通过参考图13,值得注意的是,该例子中的纳米线FET的源极区和漏极区是掺杂的并且其中形成的硅化物保留在掺杂区域中。如上所述,可以通过选择性掺杂纳米线FET二极管的源极区和漏极区(相对于纳米线电容器——其保持未掺杂——选择性地,)以及选择性修整纳米线FET二极管中相较于纳米线电容器中的间隔物宽度、可用于硅化物反应的金属的量和/或可用于硅化物反应的硅的量,实现该特定配置。在图14中,以虚线勾勒出硅化物区域。如图14中所示,硅化物区域至少延伸到栅极边缘,并且实际上在这种情况下,硅化物区域延伸经过栅极边缘并且到达器件的沟道区中。14 is a cross-sectional view of a nanowire capacitor device structure of the present invention, for example, a cross-sectional view of a nanowire capacitor device along line A1-A2 (see FIG. 11). In FIG. 14, by comparison with the plot shown in FIG. 12, an exemplary configuration is shown in which the nanowire capacitor device is undoped. As shown in FIG. 14, based on employing one or more of the above methods of controlling silicide reactions, the resulting amount of contact metal silicide is greater than that formed in the nanowire FET device(s) ( Compare the cross-sectional view of the nanowire capacitor device shown in FIG. 14 with the cross-sectional view of the nanowire FET shown in FIG. 13). By referring to FIG. 13, it is worth noting that the source and drain regions of the nanowire FET in this example are doped and the silicide formed therein remains in the doped regions. As described above, the nanowire FET diode can be selectively trimmed by selectively doping the source and drain regions of the nanowire FET diode (with respect to the nanowire capacitor, which remains undoped), and selectively trimming This particular configuration is achieved in comparison to the spacer width in the nanowire capacitor, the amount of metal available for the silicide reaction, and/or the amount of silicon available for the silicide reaction. In FIG. 14, the silicide regions are outlined with dashed lines. As shown in Figure 14, the silicide region extends at least to the gate edge, and indeed in this case the silicide region extends past the gate edge and into the channel region of the device.

一旦进行了接触金属形成,则可以形成盖层和用于连接的过孔(未示出)。Once the contact metal formation has taken place, a capping layer and vias for connections (not shown) can be formed.

图15是图1A的器件的放大部分的横截面图。具体地,图15示出了改变(在这种情况下,如何减小)间隔物宽度w可以如何改变(在这种情况下,增加)源/漏极区域。通过改变(减小/增加)源/漏区域,可以沉积更多或更少的硅化物-形成金属。参考图15,通过将间隔物的宽度从w1减小到w2,其中w2小于w1,可用于金属(硅化物)沉积的区域从a1增加到a2。由于图15描绘了图1A的器件的放大部分,为了描述方便且清楚地描述,在图15中省略了一些标记,应当理解,图15中示出了结构和特征与图1A中示出的相图,并且在上文中进行了描述。15 is a cross-sectional view of an enlarged portion of the device of FIG. 1A. In particular, Figure 15 shows how changing (in this case, decreasing) the spacer width w can change (in this case, increasing) the source/drain regions. By changing (decreasing/increasing) the source/drain regions, more or less silicide-forming metal can be deposited. Referring to FIG. 15, by reducing the width of the spacers from wl to w2, where w2 is smaller than wl, the area available for metal (silicide) deposition is increased from a1 to a2. Since FIG. 15 depicts an enlarged portion of the device of FIG. 1A, some symbols are omitted in FIG. 15 for ease of description and clarity of description, and it should be understood that the structures and features shown in FIG. 15 are similar to those shown in FIG. 1A. Figure, and described above.

图16是图1B(其描绘了其中源极区和漏极区是未掺杂的电容器配置)的器件的放大部分的横截面视图。具体地,图16示出了如何通过采用本申请中提供的用于控制硅化物反应的技术中的一种(或多种),可以修整硅化物区域(以虚线绘出轮廓)使其延伸到(或超出)栅极边缘。由于图16描绘了图1B的器件的放大部分,为了描述方便且清楚地描述,在图16中省略了一些标记,应当理解,图16中示出了结构和特征与图1B中示出的相图,并且在上文中进行了描述。16 is a cross-sectional view of an enlarged portion of the device of FIG. 1B depicting a capacitor configuration in which the source and drain regions are undoped. Specifically, FIG. 16 shows how, by employing one (or more) of the techniques provided in this application for controlling silicide reactions, the silicide regions (outlined in dashed lines) can be trimmed to extend to (or beyond) the gate edge. Since FIG. 16 depicts an enlarged portion of the device of FIG. 1B , some symbols are omitted in FIG. 16 for ease of description and clarity of description. It should be understood that the structures and features shown in FIG. 16 are similar to those shown in FIG. 1B Figure, and described above.

此外,纳米线的期望尺寸(基于纳米线直径或Dnw测得)以及栅极的期望尺寸(基于栅极长度或Lg测得)将有可能不同于FET二极管器件的相应期望尺寸。为了构建更大电容量,有可能栅极线长度将更长。如果精确性重要,则使用直径较大的线,其中单位面积的电容是恒定的,见下文。如果在固定尺寸区域中实现大电容值是更重要的,则将使用直径较小的线(以积极的(aggressive)线到线节距)再次参考图12和13,示出了纳米线直径和栅极长度尺寸。Furthermore, the desired dimensions of the nanowire (measured based on the nanowire diameter or Dnw) and the gate (measured based on the gate length or Lg) will likely differ from the corresponding desired dimensions of the FET diode device. In order to build a larger capacitance, it is possible that the length of the gate line will be longer. If accuracy is important, use larger diameter wire where the capacitance per unit area is constant, see below. If it is more important to achieve large capacitance values in a fixed size region, then smaller diameter wires (with aggressive wire-to-wire pitch) will be used. Referring again to FIGS. 12 and 13 , nanowire diameters and Gate length dimension.

关于纳米线直径和电容,具有更大直径(例如,约8nm到约30nm,或更大)的纳米线具有像FET那样的电容特性,其中电容在纳米线周围起作用。然而,当纳米线直径较小(例如,从约2nm到约7nm)时,在非平面(例如FET)器件之间存在偏差。参见例如S.Bangsaruntip等的“Gate-all-around Silicon Nanowire 25-Stage CMOS Ring Oscillators withDiameter Down to 3nm”(2010symposium on VLSI Technology(VLSIT),第21-22页(2010年8月23日))(此处称为“Bangsaruntip”),其全部内容通过引用的方式结合于本申请中。Bangsaruntip描述了电学上与本发明的纳米线电容器器件相似但是具有不同物理结构的PIN(p掺杂源极,本征沟道,n掺杂漏极)结构。然而,本发明的器件将以相同的特性起作用。具体地,Bangsaruntip的图4a示出了直径在2.6nm到15.8nm的范围内变化的纳米线的电容测量,其中栅-源电压(VGS)绘制在x轴上并且栅极电容(CG)与栅极长度(LG)的比率(以飞法(fF)/微米(μm)为单位测量)绘制在y轴上。Regarding nanowire diameter and capacitance, nanowires with larger diameters (eg, about 8 nm to about 30 nm, or larger) have capacitive properties like FETs, where the capacitance acts around the nanowire. However, when the nanowire diameter is small (eg, from about 2 nm to about 7 nm), there is a bias between non-planar (eg, FET) devices. See, eg, "Gate-all-around Silicon Nanowire 25-Stage CMOS Ring Oscillators with Diameter Down to 3nm" by S. Bangsaruntip et al. (2010symposium on VLSI Technology (VLSIT), pp. 21-22 (August 23, 2010)) ( referred to herein as "Bangsaruntip"), the entire contents of which are incorporated into this application by reference. Bangsaruntip describes a PIN (p-doped source, intrinsic channel, n-doped drain) structure that is electrically similar to the nanowire capacitor device of the present invention but has a different physical structure. However, the device of the present invention will function with the same characteristics. Specifically, Figure 4a of Bangsaruntip shows capacitance measurements of nanowires varying in diameter from 2.6 nm to 15.8 nm, where the gate-source voltage (V GS ) is plotted on the x-axis and the gate capacitance (C G ) The ratio to gate length (L G ), measured in femtofarads (fF)/micrometer (μm), is plotted on the y-axis.

电容C可以如下计算:Capacitance C can be calculated as follows:

CC AA &Proportional;&Proportional; 11 rr ll nno (( 11 ++ tt oo xx rr ))

其中A是面积,并且r是半径。在直径较小(例如,约2nm到约7nm)的纳米线的情况下,纳米线的C/A偏离平面极限并且显示出对纳米线尺寸(直径)的依赖性,对于圆柱形电容器这是预期的。见例如Bangsaruntip的图4b,其示出了作为纳米线直径的函数的纳米线电容的平面极限,其中纳米线宽度(WE)绘制在x轴上并且C/A(以微法(μF)/平方厘米(cm2)为单位测量)绘制在y轴上。RO结构中的寄生电容近似为总电容的一半。理想地,为了克服纳米线直径的变化,选择阵列中纳米线的尺寸使得电容随纳米线直径的变化最小化。where A is the area and r is the radius. In the case of nanowires with smaller diameters (e.g., about 2 nm to about 7 nm), the C/A of the nanowires deviates from the planar limit and shows a dependence on the nanowire size (diameter), which is expected for cylindrical capacitors of. See, e.g., Fig. 4b of Bangsaruntip, which shows the in-plane limit of nanowire capacitance as a function of nanowire diameter, where nanowire width (W E ) is plotted on the x-axis and C/A (in microfarads (μF)/ Measured in square centimeters (cm 2 )) are plotted on the y-axis. The parasitic capacitance in the RO structure is approximately half of the total capacitance. Ideally, to overcome the variation in nanowire diameter, the dimensions of the nanowires in the array are chosen such that the variation in capacitance with nanowire diameter is minimized.

尽管已经在本文中描述了本发明的说明性实施例,应当理解本发明不限于那些具体的实施例,并且在不脱离本发明的范围或精神的情况下本领域技术人员可以做出各种其它变化和修改。Although illustrative embodiments of the present invention have been described herein, it should be understood that the present invention is not limited to those specific embodiments, and that various other embodiments can be made by those skilled in the art without departing from the scope or spirit of the invention. Variations and Modifications.

Claims (29)

1.一种制造电子器件的方法,包括如下步骤:1. A method for manufacturing an electronic device, comprising the steps of: 提供SOI晶片,所述SOI晶片具有在BOX上的SOI层;providing an SOI wafer having an SOI layer on the BOX; 在所述SOI层中蚀刻至少一个第一组纳米线和第一组衬垫并且在所述SOI层中蚀刻至少一个第二组纳米线和第二组衬垫,其中所述第一组衬垫附着在梯子状配置的所述第一组纳米线的相对端,并且其中所述第二组衬垫附着在梯子状配置的所述第二组纳米线的相对端;Etching at least one first set of nanowires and a first set of liners in the SOI layer and etching at least one second set of nanowires and a second set of liners in the SOI layer, wherein the first set of liners attached to opposite ends of the first set of nanowires in a ladder-like configuration, and wherein the second set of pads is attached to opposite ends of the second set of nanowires in a ladder-like configuration; 形成第一栅极叠层,所述第一栅极叠层包围用作电容器器件的沟道区的所述第一组纳米线中每一条纳米线的至少一部分,其中所述第一组纳米线的从所述栅极叠层延伸出来的部分以及所述第一组衬垫用作所述电容器器件的源极区和漏极区;forming a first gate stack surrounding at least a portion of each nanowire in the first set of nanowires serving as a channel region of a capacitor device, wherein the first set of nanowires Portions of the gate stack extending from the gate stack and the first set of pads serve as source and drain regions of the capacitor device; 形成第二栅极叠层,所述第二栅极叠层包围用作场效应晶体管(FET)器件的沟道区的所述第二组纳米线中每一条纳米线的至少一部分,其中所述第二组纳米线的从所述栅极叠层延伸出来的部分以及所述第二组衬垫用作所述FET器件的源极区和漏极区;forming a second gate stack surrounding at least a portion of each nanowire in the second set of nanowires serving as a channel region of a field effect transistor (FET) device, wherein the Portions of the second set of nanowires extending from the gate stack and the second set of pads serve as source and drain regions for the FET device; 选择性地掺杂所述FET器件的所述源极区和漏极区;selectively doping the source and drain regions of the FET device; 在所述电容器器件的所述源极区和漏极区上形成至少延伸到所述第一栅极叠层的边缘的第一硅化物;以及forming a first silicide extending at least to an edge of the first gate stack on the source and drain regions of the capacitor device; and 在所述FET器件的所述源极区和漏极区上形成第二硅化物。A second silicide is formed on the source and drain regions of the FET device. 2.根据权利要求1所述的方法,其中选择性掺杂所述FET器件的所述源极区和漏极区的步骤包括如下步骤:2. The method of claim 1, wherein the step of selectively doping the source and drain regions of the FET device comprises the steps of: 掩蔽所述电容器器件的所述源极区和漏极区使得所述电容器器件的所述源极区和漏极区保持未掺杂。Masking the source and drain regions of the capacitor device allows the source and drain regions of the capacitor device to remain undoped. 3.根据权利要求1所述的方法,其中在所述电容器器件的所述源极区和漏极区上形成所述第一硅化物的步骤包括如下步骤:3. The method of claim 1, wherein the step of forming the first silicide on the source and drain regions of the capacitor device comprises the steps of: 在所述电容器器件的所述源极区和漏极区上沉积给定量的至少一种金属,使得一旦所述至少一种金属与所述电容器器件的所述源极区和漏极区中的硅反应,则形成的所述第一硅化物至少延伸到所述第一栅极叠层的边缘。Depositing a given amount of at least one metal on the source and drain regions of the capacitor device such that once the at least one metal is in contact with the source and drain regions of the capacitor device silicon reaction, the formed first silicide extends at least to the edge of the first gate stack. 4.根据权利要求3所述的方法,其中所述至少一种金属选自包括下述的组:镍、钴、铂以及包含前述金属中的至少一种的组合。4. The method of claim 3, wherein the at least one metal is selected from the group comprising nickel, cobalt, platinum, and combinations comprising at least one of the foregoing metals. 5.根据权利要求3所述的方法,其中所述至少一种金属在多个沉积步骤中沉积在所述电容器器件的所述源极区和漏极区上,直到实现所述电容器器件的所述源极区和漏极区上的所述给定量的所述至少一种金属。5. The method of claim 3, wherein said at least one metal is deposited on said source and drain regions of said capacitor device in a plurality of deposition steps until all of said capacitor device is achieved. The given amount of the at least one metal on the source and drain regions. 6.根据权利要求5所述的方法,其中在所述多个沉积步骤中的至少一个期间,使用屏蔽掩模屏蔽所述FET器件的所述源极区和漏极区。6. The method of claim 5, wherein during at least one of the plurality of depositing steps, the source and drain regions of the FET device are masked using a masking mask. 7.根据权利要求1所述的方法,其中在所述FET器件的所述源极区和漏极区上形成所述第二硅化物的步骤包括如下步骤:7. The method of claim 1, wherein the step of forming the second silicide on the source and drain regions of the FET device comprises the steps of: 在所述FET器件的所述源极区和漏极区上沉积给定量的至少一种金属,使得一旦所述至少一种金属与所述FET器件的所述源极区和漏极区中的硅反应,则形成的所述第二硅化物保留在掺杂的所述FET器件的所述源极区和漏极区内。Depositing a given amount of at least one metal on said source and drain regions of said FET device such that once said at least one metal is combined with said source and drain regions of said FET device If silicon is reacted, the second silicide formed remains in the doped source and drain regions of the FET device. 8.根据权利要求3所述的方法,进一步包括如下步骤:8. The method of claim 3, further comprising the steps of: 在所述第一栅极叠层的相对侧上形成间隔物。Spacers are formed on opposite sides of the first gate stack. 9.根据权利要求8所述的方法,进一步包括如下步骤:9. The method according to claim 8, further comprising the steps of: 将所述间隔物配置成具有这样的宽度,该宽度允许在所述电容器器件的源极区和漏极区上沉积所述给定量的所述至少一种金属,使得一旦所述至少一种金属与所述电容器器件的所述源极区和漏极区中的硅反应,则形成的所述第一硅化物至少延伸到所述第一栅极叠层的边缘。configuring the spacer to have a width that allows depositing the given amount of the at least one metal on the source and drain regions of the capacitor device such that once the at least one metal Reacting with the silicon in the source and drain regions of the capacitor device, the first silicide is formed extending at least to the edge of the first gate stack. 10.根据权利要求7所述的方法,进一步包括如下步骤:10. The method of claim 7, further comprising the steps of: 在所述第二栅极叠层的相对侧上形成间隔物。Spacers are formed on opposite sides of the second gate stack. 11.根据权利要求10所述的方法,进一步包括如下步骤:11. The method of claim 10, further comprising the steps of: 将所述间隔物配置成具有这样的宽度,该宽度允许在所述FET器件的所述源极区和漏极区上沉积所述给定量的所述至少一种金属,使得一旦所述至少一种金属与所述FET器件的所述源极区和漏极区中的硅反应,则形成的所述第二硅化物保留在掺杂的所述FET器件的所述源极区和漏极区内。configuring the spacer to have a width that allows deposition of the given amount of the at least one metal on the source and drain regions of the FET device such that once the at least one If a metal reacts with the silicon in the source and drain regions of the FET device, the second silicide formed remains in the doped source and drain regions of the FET device Inside. 12.根据权利要求7所述的方法,进一步包括如下步骤:12. The method of claim 7, further comprising the steps of: 在所述FET器件的所述源极区和漏极区上形成外延硅。Epitaxial silicon is formed on the source and drain regions of the FET device. 13.根据权利要求12所述的方法,进一步包括如下步骤:13. The method of claim 12, further comprising the steps of: 配置形成在所述FET器件的所述源极区和漏极区上的外延硅的量,使得一旦所述至少一种金属与所述FET器件的所述源极区和漏极区中的硅反应,则形成的所述第二硅化物保留在掺杂的所述FET器件的所述源极区和漏极区内。The amount of epitaxial silicon formed on the source and drain regions of the FET device is configured such that once the at least one metal is combined with the silicon in the source and drain regions of the FET device reaction, the formed second silicide remains in the doped source and drain regions of the FET device. 14.一种制造电子器件的方法,包括如下步骤:14. A method of manufacturing an electronic device, comprising the steps of: 提供SOI晶片,所述SOI晶片具有在BOX上的SOI层;providing an SOI wafer having an SOI layer on the BOX; 在所述SOI层中蚀刻至少一个第一组纳米线和第一组衬垫并且在所述SOI层中蚀刻至少一个第二组纳米线和第二组衬垫,其中所述第一组衬垫附着在梯子状配置的所述第一组纳米线的相对端,并且其中所述第二组衬垫附着在梯子状配置的所述第二组纳米线的相对端;Etching at least one first set of nanowires and a first set of liners in the SOI layer and etching at least one second set of nanowires and a second set of liners in the SOI layer, wherein the first set of liners attached to opposite ends of the first set of nanowires in a ladder-like configuration, and wherein the second set of pads is attached to opposite ends of the second set of nanowires in a ladder-like configuration; 形成第一栅极叠层,所述第一栅极叠层包围用作电容器器件的沟道区的所述第一组纳米线中每一条纳米线的至少一部分,其中所述第一组纳米线的从所述栅极叠层延伸出来的部分以及所述第一组衬垫用作所述电容器器件的源极区和漏极区;forming a first gate stack surrounding at least a portion of each nanowire in the first set of nanowires serving as a channel region of a capacitor device, wherein the first set of nanowires Portions of the gate stack extending from the gate stack and the first set of pads serve as source and drain regions of the capacitor device; 形成第二栅极叠层,所述第二栅极叠层包围用作场效应晶体管(FET)器件的沟道区的所述第二组纳米线中每一条纳米线的至少一部分,其中所述第二组纳米线的从所述栅极叠层延伸出来的部分以及所述第二组衬垫用作所述FET器件的源极区和漏极区;forming a second gate stack surrounding at least a portion of each nanowire in the second set of nanowires serving as a channel region of a field effect transistor (FET) device, wherein the Portions of the second set of nanowires extending from the gate stack and the second set of pads serve as source and drain regions for the FET device; 对所述FET器件的所述源极区和漏极区以及所述电容器器件的所述源极区和漏极区进行掺杂;doping the source and drain regions of the FET device and the source and drain regions of the capacitor device; 在所述电容器器件的所述源极区和漏极区上形成延伸到未掺杂的所述电容器器件的沟道区中的第一硅化物;以及forming a first silicide extending into an undoped channel region of the capacitor device on the source and drain regions of the capacitor device; and 在所述FET器件的所述源极区和漏极区上形成第二硅化物。A second silicide is formed on the source and drain regions of the FET device. 15.根据权利要求14所述的方法,其中在所述电容器器件的所述源极区和漏极区上形成所述第一硅化物的步骤包括如下步骤:15. The method of claim 14, wherein the step of forming the first silicide on the source and drain regions of the capacitor device comprises the steps of: 在所述电容器器件的所述源极区和漏极区上沉积给定量的至少一种金属,使得一旦所述至少一种金属与所述电容器器件的所述源极区和漏极区中的硅反应,则形成的所述第一硅化物从掺杂的所述电容器器件的所述源极区和漏极区延伸到未掺杂的所述电容器器件的沟道区中。Depositing a given amount of at least one metal on the source and drain regions of the capacitor device such that once the at least one metal is in contact with the source and drain regions of the capacitor device silicon reacts, the first silicide formed extends from the source and drain regions of the doped capacitor device into the channel region of the undoped capacitor device. 16.根据权利要求15所述的方法,其中所述至少一种金属选自包括下述的组:镍、钴、铂以及包含前述金属中的至少一种的组合。16. The method of claim 15, wherein the at least one metal is selected from the group comprising nickel, cobalt, platinum, and combinations comprising at least one of the foregoing metals. 17.根据权利要求15所述的方法,其中所述至少一种金属在多个沉积步骤中沉积在所述电容器器件的所述源极区和漏极区上,直到实现所述电容器器件的所述源极区和漏极区上的所述给定量的所述至少一种金属。17. The method according to claim 15 , wherein said at least one metal is deposited on said source and drain regions of said capacitor device in a plurality of deposition steps until all of said capacitor device is achieved. The given amount of the at least one metal on the source and drain regions. 18.根据权利要求17所述的方法,其中在所述多个沉积步骤中的至少一个期间,使用屏蔽掩模屏蔽所述FET器件的所述源极区和漏极区。18. The method of claim 17, wherein during at least one of the plurality of depositing steps, the source and drain regions of the FET device are masked using a shadow mask. 19.根据权利要求14所述的方法,其中在所述FET器件的所述源极区和漏极区上形成所述第二硅化物的步骤包括如下步骤:19. The method of claim 14, wherein the step of forming the second silicide on the source and drain regions of the FET device comprises the steps of: 在所述FET器件的所述源极区和漏极区上沉积给定量的至少一种金属,使得一旦所述至少一种金属与所述FET器件的所述源极区和漏极区中的硅反应,则形成的所述第二硅化物保留在掺杂的所述FET器件的所述源极区和漏极区内。Depositing a given amount of at least one metal on said source and drain regions of said FET device such that once said at least one metal is combined with said source and drain regions of said FET device If silicon is reacted, the second silicide formed remains in the doped source and drain regions of the FET device. 20.根据权利要求15所述的方法,进一步包括如下步骤:20. The method of claim 15, further comprising the steps of: 在所述第一栅极叠层的相对侧上形成间隔物。Spacers are formed on opposite sides of the first gate stack. 21.根据权利要求20所述的方法,进一步包括如下步骤:21. The method of claim 20, further comprising the steps of: 配置所述间隔物使其具有这样的宽度,所述宽度允许在所述电容器器件的所述源极区和漏极区上沉积所述给定量的所述至少一种金属,使得一旦所述至少一种金属与所述电容器器件的所述源极区和漏极区中的硅反应,则形成的所述第一硅化物从掺杂的所述电容器器件的所述源极区和漏极区延伸到未掺杂的所述电容器器件的沟道区中。The spacer is configured to have a width that allows deposition of the given amount of the at least one metal on the source and drain regions of the capacitor device such that once the at least a metal reacts with the silicon in the source and drain regions of the capacitor device, and the first silicide is formed from the doped source and drain regions of the capacitor device extending into the undoped channel region of the capacitor device. 22.根据权利要求19所述的方法,进一步包括如下步骤:22. The method of claim 19, further comprising the steps of: 在所述第二栅极叠层的相对侧上形成间隔物。Spacers are formed on opposite sides of the second gate stack. 23.根据权利要求22所述的方法,进一步包括如下步骤:23. The method of claim 22, further comprising the steps of: 将所述间隔物配置成具有这样的宽度,该宽度允许在所述FET器件的所述源极区和漏极区上沉积所述给定量的所述至少一种金属,使得一旦所述至少一种金属与所述FET器件的所述源极区和漏极区中的硅反应,则形成的所述第二硅化物保留在掺杂的所述FET器件的所述源极区和漏极区内。configuring the spacer to have a width that allows deposition of the given amount of the at least one metal on the source and drain regions of the FET device such that once the at least one If a metal reacts with the silicon in the source and drain regions of the FET device, the second silicide formed remains in the doped source and drain regions of the FET device Inside. 24.根据权利要求15所述的方法,进一步包括如下步骤:24. The method of claim 15, further comprising the steps of: 在所述电容器器件的源极区和漏极区上形成外延硅。Epitaxial silicon is formed on source and drain regions of the capacitor device. 25.根据权利要求24所述的方法,进一步包括如下步骤:25. The method of claim 24, further comprising the steps of: 配置形成在所述电容器器件的所述源极区和漏极区上的所述外延硅的量,使得一旦所述至少一种金属与所述电容器器件的所述源极区和漏极区中的硅反应,则形成的所述第一硅化物从掺杂的所述电容器器件的所述源极区和漏极区延伸到未掺杂的所述电容器器件的沟道区中。The amount of said epitaxial silicon formed on said source and drain regions of said capacitor device is configured such that once said at least one metal is in contact with said source and drain regions of said capacitor device reaction of the silicon, the first silicide formed extends from the source and drain regions of the doped capacitor device into the channel region of the undoped capacitor device. 26.根据权利要求19所述的方法,进一步包括如下步骤:26. The method of claim 19, further comprising the steps of: 在所述FET器件的所述源极区和漏极区上形成外延硅。Epitaxial silicon is formed on the source and drain regions of the FET device. 27.根据权利要求26所述的方法,进一步包括如下步骤:27. The method of claim 26, further comprising the steps of: 配置形成在所述FET器件的所述源极区和漏极区上的所述外延硅的量,使得一旦所述至少一种金属与所述FET器件的所述源极区和漏极区中的硅反应,则形成的所述第二硅化物保留在掺杂的所述FET器件的所述源极区和漏极区内。The amount of said epitaxial silicon formed on said source and drain regions of said FET device is configured such that once said at least one metal is in contact with said source and drain regions of said FET device reaction of the silicon, the formed second silicide remains in the doped source and drain regions of the FET device. 28.一种电子器件,包括:28. An electronic device comprising: 在SOI晶片的SOI层中蚀刻的至少一个第一组纳米线和第一组衬垫以及在所述SOI层中蚀刻的至少一个第二组纳米线和第二组衬垫,其中所述第一组衬垫附着在梯子状配置的所述第一组纳米线的相对端,并且其中所述第二组衬垫附着在梯子状配置的所述第二组纳米线的相对端;At least one first set of nanowires and first set of liners etched in the SOI layer of the SOI wafer and at least one second set of nanowires and second set of liners etched in the SOI layer, wherein the first a set of pads attached to opposite ends of said first set of nanowires in a ladder-like configuration, and wherein said second set of pads is attached to opposite ends of said second set of nanowires in a ladder-like configuration; 第一栅极叠层,其包围用作电容器器件的沟道区的所述第一组纳米线中每一条纳米线的至少一部分,其中所述第一组纳米线的从所述栅极叠层延伸出来的部分以及所述第一组衬垫用作所述电容器器件的源极区和漏极区,其中所述电容器器件的源极区和漏极区是未掺杂的;A first gate stack surrounding at least a portion of each nanowire in the first set of nanowires serving as a channel region of a capacitor device, wherein the nanowires of the first set are drawn from the gate stack the extended portion and the first set of pads serve as source and drain regions of the capacitor device, wherein the source and drain regions of the capacitor device are undoped; 第二栅极叠层,其包围用作FET器件的沟道区的所述第二组纳米线中每一条纳米线的至少一部分,其中所述第二组纳米线的从所述栅极叠层延伸出来的部分以及所述第二组衬垫用作所述FET器件的源极区和漏极区,其中所述FET器件的源极区和漏极区是掺杂的;A second gate stack surrounding at least a portion of each nanowire in the second set of nanowires serving as a channel region of a FET device, wherein the second set of nanowires from the gate stack the extended portion and the second set of pads serve as source and drain regions of the FET device, wherein the source and drain regions of the FET device are doped; 形成在所述电容器器件的所述源极区和漏极区上的第一硅化物,其至少延伸到所述第一栅极叠层的边缘;以及a first silicide formed on the source and drain regions of the capacitor device extending at least to an edge of the first gate stack; and 形成在所述FET器件的所述源极区和漏极区上的第二硅化物。A second silicide is formed on the source and drain regions of the FET device. 29.一种电子器件,包括:29. An electronic device comprising: 在SOI晶片的SOI层中的至少一个第一组纳米线和第一组衬垫以及在所述SOI层中蚀刻的至少一个第二组纳米线和第二组衬垫,其中所述第一组衬垫附着在梯子状配置的所述第一组纳米线的相对端,并且其中所述第二组衬垫附着在梯子状配置的所述第二组纳米线的相对端;At least one first set of nanowires and first set of liners in the SOI layer of the SOI wafer and at least one second set of nanowires and second set of liners etched in the SOI layer, wherein the first set pads are attached to opposite ends of the first set of nanowires in a ladder-like configuration, and wherein the second set of pads is attached to opposite ends of the second set of nanowires in a ladder-like configuration; 第一栅极叠层,其包围用作电容器器件的沟道区的所述第一组纳米线中每一条纳米线的至少一部分,其中所述第一组纳米线的从所述栅极叠层延伸出来的部分以及所述第一组衬垫用作所述电容器器件的源极区和漏极区,其中所述电容器器件的所述源极区和漏极区是掺杂的;A first gate stack surrounding at least a portion of each nanowire in the first set of nanowires serving as a channel region of a capacitor device, wherein the nanowires of the first set are drawn from the gate stack the extended portion and the first set of pads serve as source and drain regions of the capacitor device, wherein the source and drain regions of the capacitor device are doped; 第二栅极叠层,其包围用作FET器件的沟道区的所述第二组纳米线中每一条纳米线的至少一部分,其中所述第二组纳米线的从所述栅极叠层延伸出来的部分以及所述第二组衬垫用作所述FET器件的源极区和漏极区,其中所述FET器件的所述源极区和漏极区是掺杂的;A second gate stack surrounding at least a portion of each nanowire in the second set of nanowires serving as a channel region of a FET device, wherein the second set of nanowires from the gate stack the extended portion and the second set of liners serve as source and drain regions of the FET device, wherein the source and drain regions of the FET device are doped; 在所述电容器器件的所述源极区和漏极区上的第一硅化物,其延伸到未掺杂的所述电容器器件的沟道区中;以及a first silicide on the source and drain regions of the capacitor device extending into the undoped channel region of the capacitor device; and 在所述FET器件的所述源极区和漏极区上的第二硅化物。A second silicide on the source and drain regions of the FET device.
CN201410030960.2A 2013-01-28 2014-01-22 Electronic device and forming method thereof Expired - Fee Related CN103972235B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/751,490 US9064942B2 (en) 2013-01-28 2013-01-28 Nanowire capacitor for bidirectional operation
US13/751,490 2013-01-28

Publications (2)

Publication Number Publication Date
CN103972235A CN103972235A (en) 2014-08-06
CN103972235B true CN103972235B (en) 2016-11-30

Family

ID=

Similar Documents

Publication Publication Date Title
US9064942B2 (en) Nanowire capacitor for bidirectional operation
US8927397B2 (en) Diode structure and method for gate all around silicon nanowire technologies
US8659006B1 (en) Techniques for metal gate work function engineering to enable multiple threshold voltage nanowire FET devices
US8536029B1 (en) Nanowire FET and finFET
US8809957B2 (en) Nanowire FET and FinFET hybrid technology
US8685823B2 (en) Nanowire field effect transistor device
CN102428564B (en) Nanowire mesh FET with multiple threshold voltages
US8455334B2 (en) Planar and nanowire field effect transistors
US10014371B2 (en) Stressed nanowire stack for field effect transistor
US8936972B2 (en) Epitaxially thickened doped or undoped core nanowire FET structure and method for increasing effective device width
CN103871894B (en) Semiconductor device and forming method thereof
CN104335349B (en) DRAM with a nanowire access transistor
JP5273972B2 (en) Field effect transistor and method of making field effect transistor
KR20120085928A (en) Wrap-around contacts for finfet and tri-gate devices
WO2013119342A1 (en) Tapered nanowire structure with reduced off current
US8853790B2 (en) Semiconductor nanowire structure reusing suspension pads
US9754935B2 (en) Raised metal semiconductor alloy for self-aligned middle-of-line contact
CN108133960B (en) Vertically stacked gate-all-around nanowire tunneling field effect transistor and method of making the same
CN109326650B (en) Semiconductor device, method of manufacturing the same, and electronic apparatus including the same
TW202512277A (en) Semiconductor device and method of forming the same
CN103972235B (en) Electronic device and forming method thereof
CN113707714B (en) Semiconductor structure and forming method thereof
CN113314466A (en) Semiconductor device and method
CN105990147A (en) Semiconductor device and manufacturing method thereof and electronic device

Legal Events

Date Code Title Description
PB01 Publication
SE01 Entry into force of request for substantive examination
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171102

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171102

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20161130

Termination date: 20210122