CN114628492A - Transistor with air gap under source/drain regions in bulk semiconductor substrate - Google Patents
Transistor with air gap under source/drain regions in bulk semiconductor substrate Download PDFInfo
- Publication number
- CN114628492A CN114628492A CN202111507651.6A CN202111507651A CN114628492A CN 114628492 A CN114628492 A CN 114628492A CN 202111507651 A CN202111507651 A CN 202111507651A CN 114628492 A CN114628492 A CN 114628492A
- Authority
- CN
- China
- Prior art keywords
- air gap
- source
- semiconductor substrate
- bulk semiconductor
- drain region
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 120
- 239000004065 semiconductor Substances 0.000 title claims abstract description 96
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 103
- 238000002955 isolation Methods 0.000 claims description 54
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 51
- 229910052710 silicon Inorganic materials 0.000 claims description 51
- 239000010703 silicon Substances 0.000 claims description 51
- 239000000463 material Substances 0.000 claims description 37
- 238000000034 method Methods 0.000 claims description 31
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 21
- 229920005591 polysilicon Polymers 0.000 claims description 21
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 claims description 8
- 238000000059 patterning Methods 0.000 claims 1
- 239000012212 insulator Substances 0.000 abstract description 10
- 239000010410 layer Substances 0.000 description 45
- 229910052751 metal Inorganic materials 0.000 description 18
- 239000002184 metal Substances 0.000 description 18
- 230000015572 biosynthetic process Effects 0.000 description 11
- 238000000151 deposition Methods 0.000 description 11
- 230000008569 process Effects 0.000 description 11
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 9
- 238000012545 processing Methods 0.000 description 9
- 230000008021 deposition Effects 0.000 description 7
- 238000001020 plasma etching Methods 0.000 description 7
- 235000012431 wafers Nutrition 0.000 description 7
- 230000006870 function Effects 0.000 description 6
- 150000004767 nitrides Chemical class 0.000 description 6
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 5
- 229910052799 carbon Inorganic materials 0.000 description 5
- 238000005229 chemical vapour deposition Methods 0.000 description 5
- 239000002019 doping agent Substances 0.000 description 5
- 238000005530 etching Methods 0.000 description 5
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 4
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- 239000005380 borophosphosilicate glass Substances 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 150000002739 metals Chemical class 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 4
- 239000000377 silicon dioxide Substances 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- 239000010936 titanium Substances 0.000 description 4
- 238000001039 wet etching Methods 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 3
- 239000008186 active pharmaceutical agent Substances 0.000 description 3
- 238000000137 annealing Methods 0.000 description 3
- 238000013459 approach Methods 0.000 description 3
- 125000004429 atom Chemical group 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 239000007789 gas Substances 0.000 description 3
- 229910052732 germanium Inorganic materials 0.000 description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 229910021332 silicide Inorganic materials 0.000 description 3
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 3
- 229910052719 titanium Inorganic materials 0.000 description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 238000004380 ashing Methods 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 238000001289 rapid thermal chemical vapour deposition Methods 0.000 description 2
- 238000007789 sealing Methods 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- -1 spacer nitrides Chemical class 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- 238000000992 sputter etching Methods 0.000 description 2
- 229910003468 tantalcarbide Inorganic materials 0.000 description 2
- 229920001187 thermosetting polymer Polymers 0.000 description 2
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 2
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 2
- VXNZUUAINFGPBY-UHFFFAOYSA-N 1-Butene Chemical compound CCC=C VXNZUUAINFGPBY-UHFFFAOYSA-N 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- 206010010144 Completed suicide Diseases 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910010038 TiAl Inorganic materials 0.000 description 1
- 229910010041 TiAlC Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 229910002113 barium titanate Inorganic materials 0.000 description 1
- VKJLWXGJGDEGSO-UHFFFAOYSA-N barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[Ti+4].[Ba+2] VKJLWXGJGDEGSO-UHFFFAOYSA-N 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 125000005605 benzo group Chemical group 0.000 description 1
- IAQRGUVFOMOMEM-UHFFFAOYSA-N butene Natural products CC=CC IAQRGUVFOMOMEM-UHFFFAOYSA-N 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 229920001577 copolymer Polymers 0.000 description 1
- HVMJUDPAXRRVQO-UHFFFAOYSA-N copper indium Chemical compound [Cu].[In] HVMJUDPAXRRVQO-UHFFFAOYSA-N 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 238000000313 electron-beam-induced deposition Methods 0.000 description 1
- 150000002170 ethers Chemical class 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 239000012467 final product Substances 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 239000013067 intermediate product Substances 0.000 description 1
- 238000007737 ion beam deposition Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 229910052914 metal silicate Inorganic materials 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 238000005121 nitriding Methods 0.000 description 1
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- 229920000620 organic polymer Polymers 0.000 description 1
- 150000001282 organosilanes Chemical class 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 239000004033 plastic Substances 0.000 description 1
- 229920003023 plastic Polymers 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229920000412 polyarylene Polymers 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- 150000003376 silicon Chemical class 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 229920003051 synthetic elastomer Polymers 0.000 description 1
- 239000005061 synthetic rubber Substances 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- JBQYATWDVHIOAR-UHFFFAOYSA-N tellanylidenegermanium Chemical compound [Te]=[Ge] JBQYATWDVHIOAR-UHFFFAOYSA-N 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 1
- 239000011701 zinc Substances 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/7624—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
- H01L21/76264—SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
- H01L21/76283—Lateral isolation by refilling of trenches with dielectric material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/763—Polycrystalline semiconductor regions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/764—Air gaps
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/01—Manufacture or treatment
- H10D30/021—Manufacture or treatment of FETs having insulated gates [IGFET]
- H10D30/0223—Manufacture or treatment of FETs having insulated gates [IGFET] having source and drain regions or source and drain extensions self-aligned to sides of the gate
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D62/00—Semiconductor bodies, or regions thereof, of devices having potential barriers
- H10D62/10—Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
- H10D62/113—Isolations within a component, i.e. internal isolations
- H10D62/115—Dielectric isolations, e.g. air gaps
- H10D62/116—Dielectric isolations, e.g. air gaps adjoining the input or output regions of field-effect devices, e.g. adjoining source or drain regions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D62/00—Semiconductor bodies, or regions thereof, of devices having potential barriers
- H10D62/10—Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
- H10D62/17—Semiconductor regions connected to electrodes not carrying current to be rectified, amplified or switched, e.g. channel regions
- H10D62/351—Substrate regions of field-effect devices
- H10D62/357—Substrate regions of field-effect devices of FETs
- H10D62/364—Substrate regions of field-effect devices of FETs of IGFETs
- H10D62/371—Inactive supplementary semiconductor regions, e.g. for preventing punch-through, improving capacity effect or leakage current
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0151—Manufacturing their isolation regions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/02—Manufacture or treatment characterised by using material-based technologies
- H10D84/03—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology
- H10D84/038—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology using silicon technology, e.g. SiGe
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/80—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs
- H10D84/82—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components
- H10D84/83—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components of only insulated-gate FETs [IGFET]
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/01—Manufacture or treatment
- H10D30/021—Manufacture or treatment of FETs having insulated gates [IGFET]
- H10D30/0212—Manufacture or treatment of FETs having insulated gates [IGFET] using self-aligned silicidation
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/013—Manufacturing their source or drain regions, e.g. silicided source or drain regions
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Crystallography & Structural Chemistry (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Element Separation (AREA)
Abstract
本发明涉及在体半导体衬底中的源极/漏极区下方具有气隙的晶体管。一种晶体管包括:体半导体衬底;以及位于体半导体衬底中的第一源极/漏极区,其通过沟道区而与体半导体衬底中的第二源极/漏极区分隔开。第一气隙被限定在体半导体衬底中并位于第一源极/漏极区下方;第二气隙被限定在体半导体衬底中并位于第二源极/漏极区下方。栅极位于沟道区上方。第一气隙和第二气隙之间的间隔大于或等于沟道区的长度,使得第一气隙和第二气隙不在沟道区下方。气隙可以具有矩形横截面形状。气隙将体半导体结构的关断电容降低到接近绝缘体上半导体的水平而没有沟道区下方的气隙的缺点。
The present invention relates to transistors with air gaps under source/drain regions in bulk semiconductor substrates. A transistor includes: a bulk semiconductor substrate; and a first source/drain region in the bulk semiconductor substrate separated from a second source/drain region in the bulk semiconductor substrate by a channel region . A first air gap is defined in the bulk semiconductor substrate and below the first source/drain region; a second air gap is defined in the bulk semiconductor substrate and below the second source/drain region. The gate is over the channel region. The spacing between the first air gap and the second air gap is greater than or equal to the length of the channel region such that the first air gap and the second air gap are not below the channel region. The air gap may have a rectangular cross-sectional shape. The air gap reduces the off capacitance of the bulk semiconductor structure to a level close to that of the semiconductor-on-insulator without the disadvantages of the air gap below the channel region.
Description
技术领域technical field
本公开涉及集成电路(IC),更具体地涉及在体(bulk)半导体衬底中的源极/漏极区下方包括气隙以将结电容降低到接近绝缘体上半导体(SOI)衬底水平的晶体管。The present disclosure relates to integrated circuits (ICs) and, more particularly, to devices that include an air gap under source/drain regions in a bulk semiconductor substrate to reduce junction capacitance to near the level of a semiconductor-on-insulator (SOI) substrate transistor.
背景技术Background technique
高级IC制造需要基于特定电路设计形成个体电路元件,例如诸如场效应晶体管(FET)的晶体管等。FET通常包括源极区、漏极区和栅极区。栅极区位于源极区和漏极区之间,并控制通过源极区和漏极区之间的沟道区的电流。栅极可以由各种金属组成,并且通常包括功函数金属,功函数金属被选择以创建所需的FET特性。晶体管可以形成在衬底上方并且可以与绝缘电介质层(例如层间电介质(ILD)层或高电阻多晶层)电隔离。可以形成到源极、漏极和栅极区中的每一者的接触,以将晶体管电连接到其他金属层级中的可以在晶体管之后形成的其他电路元件。Advanced IC manufacturing requires the formation of individual circuit elements, such as transistors such as field effect transistors (FETs), etc., based on a specific circuit design. A FET typically includes a source region, a drain region, and a gate region. The gate region is located between the source and drain regions and controls current flow through the channel region between the source and drain regions. The gate can be composed of various metals, and typically includes a work function metal that is selected to create the desired FET characteristics. The transistors can be formed over a substrate and can be electrically isolated from an insulating dielectric layer, such as an interlayer dielectric (ILD) layer or a high resistance polycrystalline layer. Contacts may be formed to each of the source, drain, and gate regions to electrically connect the transistor to other circuit elements in other metal levels that may be formed after the transistor.
用于形成IC的衬底通常分为两类:体半导体衬底和绝缘体上半导体(SOI)衬底。SOI衬底包括分层的半导体-绝缘体-半导体衬底,替代更传统的体半导体衬底。更具体地,SOI衬底包括位于基底半导体层上方的掩埋绝缘体层上方的薄绝缘体上半导体(SOI)层。与体半导体衬底相比,SOI衬底制造成本更高,但通常提供性能更好的IC。例如,体半导体衬底由于需要相对较大的源/漏结面积而具有更高的n型场效应晶体管(NFET)关断电容(offcapacitance,Coff)。由于源/漏结电容的原因,体半导体技术的Coff可能比SOI衬底的Coff高大约30%。一种改善体半导体衬底性能的方法包括在晶体管的沟道区下方设置气隙。然而,这种方法增加了晶体管接通电压(即其阈值电压)的可变性,并在沟道区上产生机械应力,这使得该方法难以使用。Substrates used to form ICs are generally divided into two categories: bulk semiconductor substrates and semiconductor-on-insulator (SOI) substrates. SOI substrates include layered semiconductor-insulator-semiconductor substrates, replacing more traditional bulk semiconductor substrates. More specifically, SOI substrates include a thin semiconductor-on-insulator (SOI) layer over a buried insulator layer over a base semiconductor layer. SOI substrates are more expensive to manufacture than bulk semiconductor substrates, but generally provide better-performing ICs. For example, bulk semiconductor substrates have higher n-type field effect transistor (NFET) offcapacitance (Coff) due to the relatively large source/drain junction area required. Because of the source/drain junction capacitance, the C off of bulk semiconductor technology may be about 30% higher than that of SOI substrates. A method of improving the performance of a bulk semiconductor substrate includes providing an air gap under a channel region of a transistor. However, this approach increases the variability of the transistor's turn-on voltage (ie, its threshold voltage) and creates mechanical stress on the channel region, which makes this approach difficult to use.
发明内容SUMMARY OF THE INVENTION
本公开的一方面涉及一种晶体管,包括:体半导体衬底;位于所述体半导体衬底中的第一源极/漏极区,其通过沟道区而与所述体半导体衬底中的第二源极/漏极区分隔开;第一气隙,其被限定在所述体半导体衬底中并位于所述第一源极/漏极区下方;第二气隙,其被限定在所述体半导体衬底中并位于所述第二源极/漏极区下方;以及位于所述沟道区上方的栅极,其中所述第一气隙和所述第二气隙之间的间隔大于或等于所述沟道区的长度,使得所述第一气隙和所述第二气隙不在所述沟道区下方。An aspect of the present disclosure relates to a transistor, comprising: a bulk semiconductor substrate; and first source/drain regions in the bulk semiconductor substrate, which are connected to a transistor in the bulk semiconductor substrate through a channel region second source/drain regions are separated; a first air gap defined in the bulk semiconductor substrate and below the first source/drain regions; a second air gap defined in in the bulk semiconductor substrate and under the second source/drain region; and a gate over the channel region, wherein a space between the first air gap and the second air gap The spacing is greater than or equal to the length of the channel region such that the first air gap and the second air gap are not below the channel region.
本公开的另一方面包括一种晶体管,包括:体半导体衬底;位于所述体半导体衬底中的第一源极/漏极区,其通过沟道区而与所述体半导体衬底中的第二源极/漏极区分隔开;第一气隙,其被限定在所述体半导体衬底中并与所述第一源极/漏极区直接接触;第二气隙,其被限定在所述体半导体衬底中并与所述第二源极/漏极区直接接触;位于所述沟道区上方的栅极;以及位于所述体半导体衬底中的掺杂多晶硅隔离区,其在所述沟槽隔离下方以及在所述栅极、所述第一源极/漏极区和所述第二源极/漏极区下方延伸,其中所述第一气隙和所述第二气隙各自具有基本为矩形的横截面形状,并且所述第一气隙和所述第二气隙之间的间隔大于或等于所述沟道区的长度,使得所述第一气隙和所述第二气隙不在所述沟道区下方。Another aspect of the present disclosure includes a transistor comprising: a bulk semiconductor substrate; and a first source/drain region in the bulk semiconductor substrate connected to the bulk semiconductor substrate through a channel region the second source/drain regions are separated; a first air gap, which is defined in the bulk semiconductor substrate and in direct contact with the first source/drain region; a second air gap, which is defined in the bulk semiconductor substrate and in direct contact with the second source/drain regions; a gate over the channel region; and a doped polysilicon isolation region in the bulk semiconductor substrate , which extends under the trench isolation and under the gate, the first source/drain region and the second source/drain region, wherein the first air gap and the The second air gaps each have a substantially rectangular cross-sectional shape, and the spacing between the first and second air gaps is greater than or equal to the length of the channel region, such that the first air gaps and the second air gap is not below the channel region.
本公开的一方面涉及一种方法,包括:在体半导体衬底上形成第一硅锗SiGe部分(section)和与所述第一SiGe部分间隔开的第二SiGe部分;在所述第一SiGe部分和所述第二SiGe部分上方形成硅层;形成位于所述硅层中的第一源极/漏极区和位于所述硅层中的第二源极/漏极区;在所述第一源极/漏极区和所述第二源极/漏极区之间的沟道区上方形成栅极;以及通过从所述硅层下方去除所述第一SiGe部分和所述第二SiGe部分而形成位于所述第一源极/漏极区下方的第一气隙和位于所述第二源极/漏极区下方的第二气隙,其中所述第一气隙和所述第二气隙之间的间隔大于或等于所述沟道区的长度,使得所述气隙不在所述沟道区下方。One aspect of the present disclosure relates to a method comprising: forming a first silicon germanium SiGe section and a second SiGe section spaced apart from the first SiGe section on a bulk semiconductor substrate; forming a silicon layer over the portion and the second SiGe portion; forming a first source/drain region in the silicon layer and a second source/drain region in the silicon layer; forming a first source/drain region in the silicon layer; forming a gate over a channel region between a source/drain region and the second source/drain region; and by removing the first SiGe portion and the second SiGe portion from under the silicon layer part to form a first air gap under the first source/drain region and a second air gap under the second source/drain region, wherein the first air gap and the first air gap The interval between the two air gaps is greater than or equal to the length of the channel region, so that the air gap is not under the channel region.
通过下面对本公开的实施例的更具体的描述,本公开的上述以及其他特征将变得显而易见。The above and other features of the present disclosure will become apparent from the following more detailed description of embodiments of the present disclosure.
附图说明Description of drawings
将参考以下附图详细地描述本公开的实施例,其中相同的标号表示相同的元素,并且其中:Embodiments of the present disclosure will be described in detail with reference to the following drawings, wherein like numerals refer to like elements, and wherein:
图1示出了根据本公开的一些实施例的晶体管的截面图。1 shows a cross-sectional view of a transistor according to some embodiments of the present disclosure.
图2示出了根据本公开的另外一些实施例的晶体管的截面图。2 illustrates a cross-sectional view of a transistor according to further embodiments of the present disclosure.
图3示出了根据本公开的再另外一些实施例的晶体管的截面图。3 illustrates a cross-sectional view of a transistor according to still further embodiments of the present disclosure.
图4示出了根据本公开的一些实施例的方法的初始结构的截面图。4 shows a cross-sectional view of an initial structure of a method according to some embodiments of the present disclosure.
图5示出了根据本公开的一些实施例的形成硅锗部分的截面图。5 illustrates a cross-sectional view of forming a silicon germanium portion in accordance with some embodiments of the present disclosure.
图6示出了根据本公开的一些实施例的形成硅层的截面图。6 illustrates a cross-sectional view of forming a silicon layer in accordance with some embodiments of the present disclosure.
图7示出了根据本公开的一些实施例的形成沟槽隔离的截面图。7 illustrates a cross-sectional view of forming trench isolation in accordance with some embodiments of the present disclosure.
图8示出了根据本公开的一些实施例的形成源极/漏极区和栅极的截面图。8 illustrates a cross-sectional view of forming source/drain regions and gates in accordance with some embodiments of the present disclosure.
图9示出了根据本公开的一些实施例的去除硅锗部分的截面图。9 illustrates a cross-sectional view of a silicon germanium portion removed in accordance with some embodiments of the present disclosure.
图10示出了根据本公开的一些实施例的在源极/漏极区下方形成气隙的截面图。10 illustrates a cross-sectional view of forming an air gap under source/drain regions in accordance with some embodiments of the present disclosure.
图11示出了根据本公开的一些实施例的形成沟槽对的截面图。11 illustrates a cross-sectional view of forming trench pairs in accordance with some embodiments of the present disclosure.
图12示出了根据本公开的一些实施例的在沟槽对中形成硅锗部分的截面图。12 illustrates a cross-sectional view of forming silicon germanium portions in trench pairs in accordance with some embodiments of the present disclosure.
图13示出了根据本公开的一些实施例的形成硅层的截面图。13 illustrates a cross-sectional view of forming a silicon layer in accordance with some embodiments of the present disclosure.
图14示出了根据本公开的另外一些实施例的形成沟槽对的截面图。14 illustrates a cross-sectional view of forming trench pairs according to further embodiments of the present disclosure.
图15示出了根据本公开的一些实施例的形成硅锗部分和硅层的截面图。15 illustrates a cross-sectional view of forming a silicon germanium portion and a silicon layer in accordance with some embodiments of the present disclosure.
应注意,本公开的附图不一定按比例绘制。附图仅旨在描绘本公开的典型方面,因此不应视为限制本公开的范围。在附图中,相似的标号表示附图之间相似的元素。It should be noted that the drawings of the present disclosure are not necessarily drawn to scale. The drawings are intended to depict only typical aspects of the disclosure, and therefore should not be considered as limiting the scope of the disclosure. In the drawings, like numerals refer to like elements between the drawings.
具体实施方式Detailed ways
在下面的描述中,参考了形成本发明一部分的附图,并且其中以图示的方式示出了可以实践本教导的特定示例性实施例。这些实施例的描述足够详细以使本领域技术人员能够实践本教导,应当理解,在不脱离本教导的范围的情况下,可以使用其他实施例并且可以进行更改。因此,以下描述仅是说明性的。In the following description, reference is made to the accompanying drawings which form a part hereof, and in which there are shown by way of illustration specific exemplary embodiments in which the present teachings may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the present teachings, it being understood that other embodiments may be utilized and changes may be made without departing from the scope of the present teachings. Therefore, the following description is illustrative only.
将理解,当诸如层、区域或衬底的元素被称为位于另一元素“上”或“上方”时,它可以直接地位于另一元素上、或者也可以存在中间元素。与此形成对比,当元素被称为“直接位于另一元素上”或“直接位于另一元素上方”时,不存在任何中间元素。还应当理解,当一个元素被称为“被连接”或“被耦接”到另一元素时,它可以被直接地连接或耦接到另一元素、或者可以存在中间元素。与此形成对比,当一个元素被称为“被直接连接”或“被直接耦接”到另一元素时,不存在任何中间元素。It will be understood that when an element such as a layer, region or substrate is referred to as being "on" or "over" another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being "directly on" or "directly on" another element, there are no intervening elements present. It will also be understood that when an element is referred to as being "connected" or "coupled" to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being "directly connected" or "directly coupled" to another element, there are no intervening elements present.
说明书中对本公开的“一个实施例”或“实施例”及其的其他变型的提及意味着结合该实施例描述的特定特征、结构、特性等被包括在本公开的至少一个实施例中。因此,短语“在一个实施例中”或“在实施例中”以及出现在说明书各处的任何其他变型不一定都指同一实施例。应当理解,例如在“A/B”、“A和/或B”以及“A和B中的至少一者”的情况下使用“/”、“和/或”和“至少一者”中的任一者旨在包含仅选择第一个列出的选项(a)、或仅选择第二个列出的选项(B)、或同时选择这两个选项(A和B)。作为其他示例,在“A、B和/或C”和“A、B和C中的至少一者”的情况下,这些短语旨在包含仅选择第一个列出的选项(A)、或仅选择第二个列出的选项(B)、或仅选择第三个列出的选项(C)、或仅选择第一个和第二个列出的选项(A和B)、或仅选择第一个和第三个列出的选项(A和C)、或仅选择第二个和第三个列出的选项(B和C)、或选择所有这三个选项(A和B和C)。如本领域普通技术人员显而易见的,该情况可扩展用于所列出的许多项。Reference in the specification to "one embodiment" or "an embodiment" of the present disclosure and other variations thereof means that a particular feature, structure, characteristic, etc. described in connection with the embodiment is included in at least one embodiment of the present disclosure. Thus, the phrases "in one embodiment" or "in an embodiment" and any other variations appearing in various places in the specification are not necessarily all referring to the same embodiment. It should be understood that, for example, in the context of "A/B", "A and/or B" and "at least one of A and B" the use of "/", "and/or" and "at least one of" Either is intended to involve selecting only the first listed option (a), or only the second listed option (B), or both options (A and B). As other examples, in the context of "A, B, and/or C" and "at least one of A, B, and C," these phrases are intended to include selecting only the first listed option (A), or Select only the second listed option (B), or only the third listed option (C), or only the first and second listed options (A and B), or only The first and third listed options (A and C), or only the second and third listed options (B and C), or all three options (A and B and C) ). As will be apparent to those of ordinary skill in the art, this situation can be extended to many of the items listed.
本公开的实施例提供了一种晶体管,其包括体半导体衬底以及体半导体衬底中的第一源极/漏极区,该第一源极/漏极区通过沟道区与体半导体衬底中的第二源极/漏极区分隔开。第一气隙被限定在体半导体衬底中且位于第一源极/漏极区下方,第二气隙被限定在体半导体衬底中且位于第二源极/漏极区下方。栅极位于沟道区上方。第一气隙和第二气隙之间的间隔大于或等于沟道区的长度,使得第一气隙和第二气隙不在沟道区下方。气隙可以具有矩形横截面形状。气隙将体半导体结构的关断电容降低到接近绝缘体上半导体的水平,并且没有沟道区下方气隙的缺点。源极/漏极区具有在SOI衬底的SOI层的范围内的厚度。Embodiments of the present disclosure provide a transistor including a bulk semiconductor substrate and a first source/drain region in the bulk semiconductor substrate, the first source/drain region being connected to the bulk semiconductor substrate through a channel region The second source/drain regions in the bottom are separated. A first air gap is defined in the bulk semiconductor substrate under the first source/drain region, and a second air gap is defined in the bulk semiconductor substrate under the second source/drain region. The gate is over the channel region. The spacing between the first air gap and the second air gap is greater than or equal to the length of the channel region such that the first air gap and the second air gap are not below the channel region. The air gap may have a rectangular cross-sectional shape. The air gap reduces the off capacitance of the bulk semiconductor structure to a level close to that of the semiconductor-on-insulator, and does not have the disadvantage of the air gap under the channel region. The source/drain regions have a thickness within the range of the SOI layer of the SOI substrate.
图1示出了根据本公开的实施例的一对相邻晶体管100、101的截面图。这些晶体管100、101可以形成单个多指晶体管或两个单独的晶体管。为了描述的目的,本文将仅描述一个晶体管100(左侧),另一晶体管102(右侧)通常是该一个晶体管的镜像并且它们可以共用中间的源极/漏极区。晶体管100包括半导体衬底102,在说明性实施例中,该半导体衬底102是体半导体衬底而非绝缘体上半导体(SOI)衬底。半导体衬底102可以包括但不限于硅、锗、硅锗、碳化硅以及实质上由一种或多种III-V族化合物半导体组成的材料,III-V族化合物半导体具有由化学式AlX1GaX2InX3AsY1PY2NY3SbY4定义的组成,其中X1、X2、X3、Y1、Y2、Y3和Y4表示分别大于或等于零的相对比例,并且X1+X2+X3+Y1+Y2+Y3+Y4=1(1为总相对摩尔量)。其他合适的衬底包括具有组成ZnA1CdA2SeB1TeB2的II-VI化合物半导体,其中A1、A2、B1和B2是分别大于或等于零的相对比例,并且A1+A2+B1+B2=1(1为总摩尔量)。此外,衬底102的一部分或其整体可能发生应变。1 shows a cross-sectional view of a pair of
晶体管100还包括体半导体衬底102中的第一源极/漏极区110,第一源极/漏极区110通过沟道区114而与体半导体衬底102中的第二源极/漏极区112分隔开。源极/漏极区110、112可以包括任何适当的掺杂剂。The
栅极120位于沟道区114上方。栅极120可以包括任何现在已知的或以后开发的栅极材料。在一个非限制性示例中,栅极120可以包括多晶硅。在另一示例中,栅极120可以包括金属栅极。尽管为清楚起见示出为单一材料,但金属栅极可以包括用于提供晶体管的栅极端子的一种或多种导电组分。例如,金属栅极可以包括高介电常数(高K)层、功函数金属层和栅极导体(为了清楚未全部示出)。高K层可以包括通常用于金属栅极的任何现在已知的或以后开发的高K材料,例如但不限于:诸如氧化钽(Ta2O5)、氧化钡钛(BaTiO3)、氧化铪(HfO2)、氧化锆(ZrO2)、氧化铝(Al2O3)之类的金属氧化物,或诸如氧化硅酸铪(HfA1SiA2OA3)或氧氮化硅酸铪(HfA1SiA2OA3NA4)之类的金属硅酸盐,其中A1、A2、A3和A4表示相对比例,分别大于或等于零且A1+A2+A3+A4(1是总相对摩尔量)。取决于用于NFET器件还是PFET器件,功函数金属层可以包括各种金属,但可以包括例如:铝(Al)、锌(Zn)、铟(In)、铜(Cu)、铟铜(InCu)、锡(Sn)、钽(Ta)、氮化钽(TaN)、碳化钽(TaC)、钛(Ti)、氮化钛(TiN)、碳化钛(TiC)、TiAlC、TiAl、钨(W)、氮化钨(WN)、碳化钨(WC)、多晶硅(多晶Si)和/或它们的组合。栅极导体可以包括任何现在已知的或以后开发的栅极导体,例如铜(Cu)。例如氮化物构成的栅帽(未示出)也可以形成在栅极区上方。栅极120还可以包括其周围的间隔物(spacer)122,间隔物例如由氮化硅构成。
晶体管100还可以包括位于体半导体衬底102中并围绕第一源极/漏极区110和第二源极/漏极区112的任何形式的沟槽隔离124。如本领域中所理解的,沟槽隔离124可以使衬底102的一个区域与衬底102的相邻区域隔离。给定极性的一个或多个晶体管可以设置在由沟槽隔离124隔离的区域内。每个沟槽隔离124可以由任何现在已知的或以后开发的用于提供电绝缘的物质形成,例如可以包括:氮化硅(Si3N4)、氧化硅(SiO2)、氟化SiO2(FSG)、氢化碳氧化硅(SiCOH)、多孔SiCOH、硼磷硅酸盐玻璃(BPSG)、倍半硅氧烷、包括硅(Si)、碳(C)、氧(O)和/或氢(H)的原子的碳(C)掺杂氧化物(即有机硅酸盐)、热固性聚亚芳基醚、旋涂含硅碳聚合物材料、近无摩擦碳(NFC)或其多层。
在一个实施例中,晶体管100还可以包括位于体半导体102中且在栅极120、第一源极/漏极区110和第二源极/漏极区112下方延伸的掺杂多晶硅隔离区130。隔离区130可以在沟槽隔离124的侧面之间延伸。掺杂多晶硅隔离区130可以包括能够在衬底102中形成绝缘多晶硅的任何掺杂剂(例如,氩)。如本领域所理解的,掺杂多晶硅隔离区130为晶体管100提供高电阻率电隔离。图2示出了另一实施例的截面图,其中省略了掺杂多晶硅隔离区130。In one embodiment,
晶体管100包括被限定在体半导体衬底102中并位于第一源极/漏极区110下方的第一气隙140,以及被限定在体半导体衬底102中并位于第二源极/漏极区112下方的第二气隙142。气隙140、142是晶体管100的材料中充当气体电介质的腔或空隙。第一气隙140和第二气隙142之间的间隔S大于或等于沟道区114的长度L,使得第一和第二气隙140、142不在沟道区114下方。在图1和图2中,第一气隙140和第二气隙142的侧面与栅极120和沟道114的边缘对齐,使得间隔S等于沟道区114的长度L。替代地,如图3所示,第一气隙140和第二气隙142的侧面可以不与栅极120和沟道114的边缘对齐,使得间隔S大于沟道区114的长度L。图3示出了包括掺杂多晶硅隔离区130的晶体管100,但是可以如图2那样省略掺杂多晶硅隔离区。
第一气隙140与第一源极/漏极区110直接接触,第二气隙142与第二源极/漏极区112直接接触。此外,第一气隙140和/或第二气隙142可以邻接沟槽隔离124,即,其端部与沟槽隔离124直接接触。在图1至图3中,仅第一气隙140被示出为邻接沟槽隔离124;然而将认识到,如果省略了晶体管101,则第二气隙142将邻接沟槽隔离124。此外,由于形成气隙的方法,如本文将进一步描述的,第一气隙140和第二气隙142各自具有基本为矩形的横截面形状。气隙140、142可以形成为其中没有衬里(liner),使得第一气隙140和第二气隙142中的每一者的内表面148为与体半导体衬底102相同的材料。The
如本文将描述的,在其中形成第一源极/漏极区110和第二源极/漏极区112的硅层150可以具有30至50纳米范围内的厚度。该厚度部分地由气隙140、142和用于形成它们的材料的厚度决定。硅层150可以具有类似于SOI衬底的绝缘体上半导体(SOI)层的厚度,并且可以提供与使用气隙140、142和可选的掺杂多晶硅隔离区130而非掩埋绝缘体层的SOI衬底相似的性能。As will be described herein, the
出于在本文中将变得明显的原因,晶体管100还可以包括延伸穿过第一源极/漏极区110和第二源极/漏极区112中的每一者的填充通气孔156。填充通气孔156例如可以被氧化物衬里和间隔物氮化物填充。每个源极/漏极区110、112还可以包括其上的硅化物158,以耦接到穿过层间电介质(ILD)160的接触(未示出)。可以使用任何现在已知的或以后开发的技术,例如执行原位预清洁,沉积诸如钛、镍、钴之类的金属等,执行退火以使金属与硅反应,然后去除未反应的金属,来形成硅化物158。ILD 160可以被沉积并且包括例如但不限于以下的材料:碳掺杂的二氧化硅材料;氟化硅酸盐玻璃(FSG);有机聚合物热固性材料;碳氧化硅;SiCOH电介质;氟掺杂的氧化硅;旋涂玻璃;倍半硅氧烷,其中包括氢倍半硅氧烷(HSQ)、甲基倍半硅氧烷(MSQ)以及HSQ和MSQ的混合物或共聚物;基于苯并环丁烯(BCB)的聚合物电介质,以及任何含硅的低k电介质。使用倍半硅氧烷化学的具有SiCOH型组成的旋涂低k膜的示例包括HOSPTM(可从Honeywell购买)、JSR 5109和5108(可从Japan SyntheticRubber购买)、ZirkonTM(可从Shipley Microelectronics的Rohm and Haas分公司购买),以及多孔低k(ELk)材料(可从Applied Materials购买)。碳掺杂的二氧化硅材料或有机硅烷的示例包括Black DiamondTM(可从Applied Materials购买)和CoralTM(可从Lam Research购买)。HSQ材料的示例是FOxTM(可从Dow Corning购买)。For reasons that will become apparent herein,
“沉积”可以包括任何现在已知的或以后开发的适合于待沉积材料的技术,其中包括但不限于例如:化学气相沉积(CVD)、低压CVD(LPCVD)、等离子体增强CVD(PECVD)、次大气压CVD(SACVD)和高密度等离子体CVD(HDPCVD)、快速热CVD(RTCVD)、超高真空CVD(UHVCVD)、有限反应处理CVD(LRPCVD)、金属有机CVD(MOCVD)、溅射沉积、离子束沉积、电子束沉积、激光辅助沉积、热氧化、热氮化、旋涂法、物理气相沉积(PVD)、原子层沉积(ALD)、化学氧化、分子束外延(MBE)、镀敷、蒸发。ILD 160例如可以使用ALD沉积。"Deposition" may include any now known or later developed technique suitable for the material to be deposited, including but not limited to, for example, chemical vapor deposition (CVD), low pressure CVD (LPCVD), plasma enhanced CVD (PECVD), Subatmospheric Pressure CVD (SACVD) and High Density Plasma CVD (HDPCVD), Rapid Thermal CVD (RTCVD), Ultra High Vacuum CVD (UHVCVD), Limited Reaction Processing CVD (LRPCVD), Metal Organic CVD (MOCVD), Sputter Deposition, Ion Beam Deposition, Electron Beam Deposition, Laser Assisted Deposition, Thermal Oxidation, Thermal Nitriding, Spin Coating, Physical Vapor Deposition (PVD), Atomic Layer Deposition (ALD), Chemical Oxidation, Molecular Beam Epitaxy (MBE), Plating, evaporation.
将认识到,晶体管101可以包括与晶体管100相似的结构。在另外一些实施例中,可以省略晶体管101。如图所示,在存在晶体管对100、101的情况下,可以提供位于另一源极/漏极区180下方的第三气隙162。It will be appreciated that
参考图4至图15,根据本公开的一些实施例,可以根据多种方法形成晶体管100。图4至图10示出了其中气隙140、142的侧面可以不与源极/漏极区110、112对齐的实施例。图11至图15示出了其中气隙140、142的侧面与源极/漏极区110、112对齐的处理的实施例的截面图。Referring to FIGS. 4-15 , according to some embodiments of the present disclosure, the
图4示出了根据本公开的实施例的初始结构166的一个实施例的截面图。如本文所述,初始结构166可以包括体半导体衬底102。图4还示出了在体半导体衬底102中形成沟槽隔离124。这里,例如可以使用掩模(未示出)在衬底102中蚀刻出沟槽126,并用诸如氧化物之类的绝缘材料填充沟槽126,以将衬底的一个区域与衬底中的相邻区域隔离开。沟槽隔离124围绕其中将构建晶体管100的区域。可以使用本文列出的任何沟槽隔离124材料。或者,可以使用适当的掺杂阱作为隔离。FIG. 4 shows a cross-sectional view of one embodiment of the
蚀刻通常是指从衬底(或形成在衬底上的结构)中去除材料,并且通常利用在适当位置处的掩模来执行,以便选择性地从衬底的特定区域中去除材料,同时使得在衬底的其他区域中的材料不受影响。通常有两类蚀刻:(i)湿法蚀刻和(ii)干法蚀刻。湿法蚀刻利用溶剂(例如酸)执行,可以选择溶剂的选择性地溶解给定材料(例如氧化物)而同时使另一材料(例如多晶硅)保持相对完整的能力。这种选择性蚀刻给定材料的能力是许多半导体制造工艺的基础。湿法蚀刻通常各向同性地蚀刻均质材料(例如氧化物),但是湿法蚀刻也可以各向异性地蚀刻单晶材料(例如硅晶片)。干法蚀刻可以利用等离子体执行。等离子体系统可以通过调整等离子体参数以若干种模式工作。普通等离子体蚀刻会产生中性带电的高能自由基,这些高能自由基在晶片表面处发生反应。由于中性粒子从所有角度攻击晶片,因此该工艺是各向同性的。离子铣削或溅射蚀刻用稀有气体的高能离子轰击晶片,稀有气体的高能离子大致从一个方向接近晶片,因此该工艺是高度各向异性的。反应离子蚀刻(RIE)在介于溅射和等离子体蚀刻之间的条件下操作,可用于产生深而窄的特征,例如用于沟槽隔离124的沟槽126。Etching generally refers to the removal of material from a substrate (or structures formed on a substrate) and is usually performed with a mask in place to selectively remove material from specific areas of the substrate while allowing Materials in other areas of the substrate are not affected. There are generally two types of etching: (i) wet etching and (ii) dry etching. Wet etching is performed using a solvent (eg, acid), which can be selected for its ability to selectively dissolve a given material (eg, oxide) while leaving another material (eg, polysilicon) relatively intact. This ability to selectively etch a given material underlies many semiconductor manufacturing processes. Wet etching typically etches homogeneous materials (eg oxides) isotropically, but wet etching can also anisotropically etch single crystal materials (eg silicon wafers). Dry etching can be performed using plasma. The plasma system can operate in several modes by adjusting the plasma parameters. Ordinary plasma etching produces neutrally charged energetic radicals that react at the wafer surface. The process is isotropic as neutrals attack the wafer from all angles. Ion milling or sputter etching bombards the wafer with energetic ions of a noble gas that approach the wafer from roughly one direction, so the process is highly anisotropic. Reactive ion etching (RIE), operating at conditions intermediate between sputtering and plasma etching, can be used to create deep and narrow features, such as
图4还示出了在体半导体衬底102中可选地形成掺杂多晶硅隔离区130。掺杂多晶硅隔离区130可以以任何现在已知的或以后开发的方式形成,例如但不限于例如通过离子注入和退火来以足够剂量和强度进行氩掺杂以在衬底102中的所需深度处形成隔离区。请参见美国专利10,192,779。可以使用任何形式的氮化物帽(未示出)来控制隔离区130的定位和形状。如图1和图3中的一个非限制性示例所示,该掺杂在体半导体衬底102中形成在第一源极/漏极区110、第二源极/漏区极112、栅极120、第一气隙140和第二气隙142下方延伸的掺杂多晶硅隔离区130。隔离区130的其他布置也是可能的。FIG. 4 also illustrates the optional formation of doped
图5示出了在体半导体衬底102上形成第一硅锗(SiGe)部分170和与第一SiGe部分170间隔开的第二SiGe部分172的截面图。也可以形成与第二SiGe部分172间隔开的第三SiGe部分174。在该实施例中,在体半导体衬底102上方图案化掩模168(例如,氮化物硬掩模),暴露部分衬底。每个SiGe部分170、172、174可以根据衬底102上的图案化掩模168的引导在衬底的暴露部分中选择性地外延生长。术语“外延生长”和“外延形成和/或生长”是指在半导体材料的沉积表面上生长诸如硅之类的半导体材料,其中生长的半导体材料可以具有与沉积表面的半导体材料相同的结晶特性。在外延生长过程中,由源气体提供的化学反应物受到控制,并且系统参数被设置为使得沉积原子以足够的能量到达半导体衬底的沉积表面,以在表面上移动并使其自身取向为沉积表面的原子的晶体排列。可以在外延生长工艺期间开启锗(Ge)的添加以形成SiGe,例如,按重量百分比计,SiGe中的Ge含量最高为20%。或者,可以在外延生长工艺期间关闭锗(Ge)的添加,从而形成纯硅。SiGe部分170、172、174的厚度和宽度决定了气隙140、142的厚度和宽度。SiGe部分170、172横向定位并且其宽度尽可能匹配在其上方将要形成的源极/漏极区110、112的宽度。SiGe部分170、172、174可以具有与例如所采用的特定技术节点的期望源极/漏极区宽度和沟道长度相称的宽度。在一个非限制性示例中,对于14nm技术节点,该宽度可以是360-400纳米,或者该宽度可以是560-600纳米,或者是这些范围之间的任何宽度。SiGe部分170、172、174可以具有例如10-30nm的厚度。5 illustrates a cross-sectional view of forming a first silicon germanium (SiGe)
图6示出了在第一SiGe部分170和第二SiGe部分172(以及第三SiGe部分174,如果提供的话)上方形成硅层150的截面图。这里,首先使用任何合适的灰化工艺(例如,热磷工艺)去除掩模168。然后硅层150可以从体半导体衬底102和SiGe部分170、172、174选择性地外延生长。硅层150可以具有源极/漏极区110、112所需的任何厚度。在一个实施例中,即使晶体管100形成在体半导体衬底102上,也使SiGe部分170、172、174上方的硅层150的厚度接近SOI衬底中的SOI层的厚度,从而获得与SOI衬底相似的性能。在一个非限制性示例中,硅层150可以具有30至50纳米范围内的厚度。6 shows a cross-sectional view of the formation of
图6还示出了使沟槽隔离124延伸为与硅层150共面。这里,附加的沟槽隔离124X形成在沟槽隔离124上方以使沟槽隔离达到与硅层150相同的高度。可以执行任何必要的平面化以使得表面共面。FIG. 6 also shows that
在一个替代实施例中,如图7的横截面图中所示,可以在沟槽隔离124形成之前执行图4的可选的掺杂多晶硅隔离区130形成以及图5和6的工艺。在这种情况下,图案化的掩模168(图5)用于暴露部分衬底102,并且外延生长第一和第二SiGe部分170、172(图6)以及第三SiGe部分174(如果提供的话)。然后去除掩模168,并且如关于图6所描述的,外延生长硅层150。在这种情况下,由于最初不存在沟槽隔离124,因此硅层150以非选择性方式从衬底102和SiGe部分170、172、174生长。接下来,如图7所示,可以在体半导体衬底102中将沟槽隔离124形成为与硅层150共面,例如,使用衬垫氧化物和衬垫氮化物掩模来蚀刻沟槽、执行氧化物沉积和平面化(未示出)。因此,沟槽隔离124包括单一结构,例如氧化物的单一结构。另外关于沟槽隔离,图7的实施例在图1中示出,图6的实施例在图2和图3中示出。图1至图3的后续处理基于图7的实施例进行说明。In an alternative embodiment, as shown in the cross-sectional view of FIG. 7 , the optional doped
图8示出了形成位于硅层150中的第一源极/漏极区110和位于硅层150中的第二源极/漏极区112的截面图。图8还示出了在第一源极/漏极区110和第二源极/漏极区112之间的沟道区114上方形成栅极120。栅极120和源极/漏极区110、112(以及第二栅极120和第三源极/漏极区180)可以使用任何现在已知的或以后开发的工艺形成。在一个非限制性示例中,栅极120材料可以被沉积并使用光刻而被图案化,其中源极/漏极区110、112、180通过用适当的掺杂剂掺杂硅层150(例如,使用离子注入)并执行退火以驱动掺杂剂来形成。所使用的掺杂剂可根据要形成的晶体管的类型而变化。栅极120可以包括虚设(dummy)栅极材料,例如包括在源极/漏极区形成之后将被最终栅极材料替换的牺牲材料,或者它们可以包括最终栅极材料,例如多晶硅或金属栅极材料。FIG. 8 shows a cross-sectional view of forming first source/
图9示出了通过从硅层150(现在包括源极/漏极区110、112)下方去除第一SiGe部分170和第二SiGe部分172来在第一源极/漏极区110下方形成第一气隙140和在第二源极/漏极区112下方形成第二气隙142的截面图。在第一和第二源极/漏极区110、112下方的气隙140、142的形成可以包括形成穿过第一源极/漏极区110和第二源极/漏极区112(以及源极/漏极区180,如果提供的话)的通气孔184。通气孔184可以使用任何现在已知的或以后开发的工艺形成,例如,形成具有与通气孔184的位置匹配的小开口的图案化掩模,并且进行蚀刻(例如,RIE)。图9还示出了例如使用热氨(NH3)和/或盐酸,通过通气孔184蚀刻和去除SiGe层170、172(以及174(图8)),如本领域中已知的。在去除SiGe层170、172、174之后,可以执行可选的热氧化以钝化气隙半导体表面。SiGe部分的去除在空间中留下气体,例如空气。FIG. 9 shows the formation of a
图10示出了密封通气孔184(图9)以形成第一气隙140和第二气隙142(以及第三气隙162,在需要时)以及填充通气孔156的截面图。例如可以通过热氧化通气孔(所示)或沉积诸如氮化物和/或间隔物氮化物之类的电介质(其还形成栅极间隔物122),或通过沉积硼磷硅玻璃(BPSG),来执行密封。应注意,与密封它们的源极/漏极区110、112相比,通气孔184具有足够小的横向尺寸(在图9中跨页面或进入页面),如上所述,不会不利地影响源极/漏极区的电特性。如上所述,由于SiGe部分的形状,第一气隙140和第二气隙142各自具有基本为矩形的横截面形状。在实施例中,第一气隙140和第二气隙142之间的间隔S大于或等于沟道区114的长度L,使得气隙140、142不在沟道区114下方。FIG. 10 shows a cross-sectional view of sealing vent 184 ( FIG. 9 ) to form first and
图1至图3示出了在源极/漏极区110、112、180上方形成硅化物158之后的处理。可以使用任何现在已知的或以后开发的技术形成硅化物158,例如,执行原位预清洁、沉积诸如钛、镍、钴、铂等之类的金属,执行退火以使金属与源极/漏极区110、112的硅发生反应,并且去除未反应的金属。可以在上方形成ILD 160,并且可以以已知的方式穿过ILD 160形成任何期望的互连,例如接触和布线(未示出)。FIGS. 1-3 illustrate the processing after
在图5至图10的实施例中,SiGe部分170、172、174没有以与源极/漏极区110、112、180对齐的方式形成,因此可能导致气隙140、142不与源极/漏极区110对齐,这里,间隔S可以接近等于但更可能大于沟道区114的长度L。大于沟道区114的长度L的间隔S在图3中以夸大的方式示出。In the embodiments of FIGS. 5-10,
图11至图14示出了其中以与源极/漏极区110、112对齐的方式形成气隙140、142的实施例的截面图。即,如图1和图2所示,第一气隙140和第二气隙142的侧面与栅极120的边缘对齐,使得它们之间的间隔S等于沟道区114的长度L。如将描述的,在这些实施例中,在形成第一SiGe部分170和第二SiGe部分172之前,可以在体半导体衬底102中形成沟槽隔离124。气隙140、142中的每一者可以邻接沟槽隔离124。11-14 illustrate cross-sectional views of embodiments in which
图11示出了其中处理从图4开始的截面图,并且在形成第一SiGe部分170和第二SiGe部分172以及形成硅层150之前形成栅极120,如在前面的实施例中所述。如本文所述,栅极120可以以任何方式形成在体半导体衬底102上方。图11还示出了在体半导体衬底102中形成与栅极120相邻的沟槽190的对。也可以在两个栅极120彼此相邻地形成的情况下提供另一沟槽190。硬掩模192可以形成在沟槽隔离124和/或栅极120上方,并且执行诸如RIE之类的蚀刻以打开沟槽190。沟槽190在衬底102中延伸到气隙140、142(图1至图3)的底部所需的深度。11 shows a cross-sectional view where processing begins in FIG. 4 and
图12示出了在沟槽190的对中外延生长第一SiGe部分170和第二SiGe部分172(以及在另一沟槽190中外延生长第三SiGe部分174,如果提供的话)的截面图。在实施例中,第一SiGe部分170针对源极/漏极晶体管区选择性地外延生长。图13示出了在沟槽190的对(图11)中的第一SiGe部分170和第二SiGe部分172以及第三SiGe部分174(如果提供)上方外延生长硅层150的截面图。从这一点开始的处理可以如关于图1至图3和图8至图10所描述的那样进行,例如栅极120形成、第一和第二源极/漏极区110、112形成,以及气隙140、142形成。如图1和图2所示,第一气隙140和第二气隙142的侧面与栅极120的边缘对齐,使得间隔S等于沟道区114的长度L。12 shows a cross-sectional view of epitaxially growing a
图14示出了其中以与源极/漏极区110、112的边缘对齐的方式形成气隙140、142的侧面的另外一些实施例的截面图。在该实施例中,不使用栅极120来形成沟槽190的对(图11),而是使用掩模200。这里,栅极120在SiGe部分170、172、174之后形成。图14示出了其中从图4开始处理的截面图。在此阶段,在体半导体衬底102中形成沟槽隔离124,并且使用掩模200在体半导体衬底102中形成沟槽202的对。在体半导体衬底102上方图案化掩模200(例如,氮化物硬掩模)以暴露需要气隙140、142的体半导体衬底102的部分。通过使用掩模200蚀刻,在体半导体衬底102中邻近栅极120形成沟槽202的对。在三个部分被掩模200暴露的情况下,还可以提供另一沟槽202。蚀刻可以包括例如RIE。沟槽202在衬底102中延伸到气隙140、142(图1-3)的底部所需的深度。FIG. 14 shows cross-sectional views of further embodiments in which the sides of the
图15示出了在去除掩模200(图14)(例如,通过任何适当的灰化工艺),在沟槽202中外延生长第一SiGe部分170和第二SiGe部分172,然后在第一SiGe部分170和第二SiGe部分172上方外延生长硅层150(在沟槽202(图14)中)之后的截面图。该实施例的此后的处理可以如关于图1至图3和图8至图10所描述的类似方式进行以达成图1至图3中的晶体管100。FIG. 15 shows that after mask 200 (FIG. 14) is removed (eg, by any suitable ashing process),
本公开的实施例提供了晶体管100,由于气隙140、142的存在,即使该晶体管构建在体半导体衬底102中,该晶体管也表现出减小的结电容。气隙140、142具有矩形横截面形状,并且在源极/漏极区110、112下方延伸而不在沟道区114下方延伸。在某些实施例中,气隙140、142的侧面与栅极120和沟道114的边缘对齐。虽然由于掩埋绝缘体层的原因,SOI衬底通常具有比体半导体衬底低约50%的漏源电容(CDS),但是源极/漏极区110、112下方的气隙140、142降低了体半导体衬底102中的CDS。源极/漏极区110、112的厚度也可被配置为与SOI衬底的类似,例如为约80nm。源极/漏极区110、112下方的气隙140、142导致的CDS的降低可以最高为约50%,这可将关断电容(Coff)降低高达25%以接近或匹配SOI衬底中的该值。尽管气隙140、142提供了该优点,但它们不位于沟道区114下方,因此消除了由该布置引起的任何机械应力。Embodiments of the present disclosure provide a
上述方法用于集成电路芯片的制造。所得到的集成电路芯片可以由制造商以原始晶片形式(即,作为具有多个未封装芯片的单个晶片),作为裸芯或以封装形式分发。在后一种情况下,芯片以单芯片封装(例如塑料载体,其引线固定到主板或其它更高级别的载体)或多芯片封装(例如陶瓷载体,其具有表面互连和/或掩埋互连)的形式被安装。在任何情况下,芯片然后与其它芯片、分立电路元件和/或其它信号处理器件集成,作为(a)中间产品(例如主板)或(b)最终产品的一部分。最终产品可以是包括集成电路芯片的任何产品,从玩具和其它低端应用到具有显示器、键盘或其它输入设备以及中央处理器的高级计算机产品。The above method is used in the manufacture of integrated circuit chips. The resulting integrated circuit chips may be distributed by the manufacturer in raw wafer form (ie, as a single wafer with multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case, the chips are in a single-chip package (eg a plastic carrier with leads attached to a motherboard or other higher level carrier) or a multi-chip package (eg a ceramic carrier with surface interconnects and/or buried interconnects) ) is installed. In any case, the chip is then integrated with other chips, discrete circuit elements and/or other signal processing devices as part of (a) an intermediate product (eg, a motherboard) or (b) an end product. The final product can be anything including integrated circuit chips, from toys and other low-end applications to advanced computer products with displays, keyboards or other input devices, and central processing units.
本文使用的术语仅用于描述特定实施例的目的,并不旨在限制本公开。如本文所使用的,单数形式“一”、“一个”和“该”也旨在包括复数形式,除非上下文另有明确说明。将进一步理解,当在本说明书中使用时,术语“包括”和/或“包含”规定所述特征、整体、步骤、操作、元件和/或部件的存在,但不排除一个或多个其它特征、整体、步骤、操作、元件、部件和/或它们构成的组的存在或者添加。“可选的”或“可选地”表示随后描述的事件或情况可能发生或可能不发生,并且该描述包括事件发生的情况和事件不发生的情况。The terminology used herein is for the purpose of describing particular embodiments only and is not intended to limit the present disclosure. As used herein, the singular forms "a," "an," and "the" are intended to include the plural forms as well, unless the context clearly dictates otherwise. It will be further understood that when used in this specification, the terms "comprising" and/or "comprising" specify the presence of stated features, integers, steps, operations, elements and/or parts, but do not exclude one or more other features , integers, steps, operations, elements, parts, and/or the presence or addition of groups thereof. "Optional" or "optionally" means that the subsequently described event or circumstance may or may not occur, and that the description includes instances where the event occurs and instances where it does not.
在整个说明书和权利要求书中使用的近似语言可以被用于修饰任何定量表示,该定量表示可以允许在不导致其相关的基本功能变化的情况下改变。因此,由诸如“约”、“近似”和“基本上”之类的一个或多个术语修饰的值不限于指定的精确值。在至少一些情况下,近似语言可以对应于用于测量值的仪器的精度。在本文以及整个说明书和权利要求书中,范围限制可以被组合和/或互换,这样的范围被识别并且包括含在其中的所有子范围,除非上下文或语言另有说明。应用于范围的特定值的“近似”适用于两个值,并且除非另外取决于测量值的仪器的精度,否则可指示所述值的+/-10%。Approximate language used throughout the specification and claims can be used to modify any quantitative representation that can be allowed to vary without causing a change in the basic function with which it is associated. Thus, a value modified by one or more terms such as "about", "approximately" and "substantially" is not limited to the precise value specified. In at least some cases, the language of approximation may correspond to the precision of the instrument used to measure the value. Range limitations may be combined and/or interchanged herein and throughout the specification and claims, such ranges are identified and include all sub-ranges subsumed therein unless context or language dictates otherwise. "Approximate" applied to a particular value of a range applies to both values, and may indicate +/- 10% of the stated value unless otherwise dependent on the precision of the instrument by which the value is measured.
以下权利要求中的所有装置或步骤加功能元件的对应结构、材料、动作和等同物旨在包括结合具体要求保护的其它要求保护的要素执行功能的任何结构、材料或动作。已经出于说明和描述的目的给出了对本公开的描述,但是该描述并不旨在是穷举的或将本公开限制于所公开的形式。在不脱离本公开的范围和精神的情况下,许多修改和变化对于本领域的普通技术人员将是显而易见的。选择和描述实施例是为了最好地解释本公开的原理和实际应用,并且使本领域的其他技术人员能够理解本公开的具有适合于预期的特定用途的各种修改的各种实施例。The corresponding structures, materials, acts, and equivalents of all means or step plus function elements in the claims below are intended to include any structure, material, or act for performing the function in combination with other claimed elements as specifically claimed. The description of the present disclosure has been presented for purposes of illustration and description, but is not intended to be exhaustive or to limit the disclosure to the form disclosed. Numerous modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of this disclosure. The embodiment was chosen and described in order to best explain the principles of the disclosure and the practical application, and to enable others skilled in the art to understand the disclosure for various embodiments with various modifications as are suited to the particular use contemplated.
Claims (20)
Applications Claiming Priority (4)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| IN202011053972 | 2020-12-11 | ||
| IN202011053972 | 2020-12-11 | ||
| US17/155,469 US11605710B2 (en) | 2020-12-11 | 2021-01-22 | Transistor with air gap under source/drain region in bulk semiconductor substrate |
| US17/155469 | 2021-01-22 |
Publications (1)
| Publication Number | Publication Date |
|---|---|
| CN114628492A true CN114628492A (en) | 2022-06-14 |
Family
ID=81750222
Family Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| CN202111507651.6A Pending CN114628492A (en) | 2020-12-11 | 2021-12-10 | Transistor with air gap under source/drain regions in bulk semiconductor substrate |
Country Status (2)
| Country | Link |
|---|---|
| CN (1) | CN114628492A (en) |
| DE (1) | DE102021129111A1 (en) |
Family Cites Families (1)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US10192779B1 (en) | 2018-03-26 | 2019-01-29 | Globalfoundries Inc. | Bulk substrates with a self-aligned buried polycrystalline layer |
-
2021
- 2021-11-09 DE DE102021129111.9A patent/DE102021129111A1/en active Pending
- 2021-12-10 CN CN202111507651.6A patent/CN114628492A/en active Pending
Also Published As
| Publication number | Publication date |
|---|---|
| DE102021129111A1 (en) | 2022-06-15 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| CN108933084B (en) | Replacement metal gate patterning for nanosheet devices | |
| US11610965B2 (en) | Gate cut isolation including air gap, integrated circuit including same and related method | |
| US10236213B1 (en) | Gate cut structure with liner spacer and related method | |
| US9040369B2 (en) | Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric | |
| US10903315B2 (en) | Formation of dielectric layer as etch-stop for source and drain epitaxy disconnection | |
| US11482456B2 (en) | Forming two portion spacer after metal gate and contact formation, and related IC structure | |
| US8377790B2 (en) | Method of fabricating an embedded polysilicon resistor and an embedded eFuse isolated from a substrate | |
| WO2011109203A2 (en) | Structure and method to make replacement metal gate and contact metal | |
| KR20150093583A (en) | Semiconductor structure and manufacturing method thereof | |
| CN101685780A (en) | Semiconductor device and method of manufacturing a semiconductor device with a metal gate stack | |
| US11056398B2 (en) | Forming interconnect without gate cut isolation blocking opening formation | |
| TW202209632A (en) | Field effect transistor (fet) stack and methods to form same | |
| US11605710B2 (en) | Transistor with air gap under source/drain region in bulk semiconductor substrate | |
| CN113972277B (en) | FINFET with fin height in drain region lower than fin height in source region and related method | |
| US9929250B1 (en) | Semiconductor device including optimized gate stack profile | |
| US10991689B2 (en) | Additional spacer for self-aligned contact for only high voltage FinFETs | |
| US11217584B2 (en) | Limiting lateral epitaxy growth at N-P boundary using inner spacer, and related structure | |
| CN114628492A (en) | Transistor with air gap under source/drain regions in bulk semiconductor substrate | |
| US10797046B1 (en) | Resistor structure for integrated circuit, and related methods | |
| CN114639644A (en) | Heat dissipation isolation structure for semiconductor device | |
| US11817479B2 (en) | Transistor with air gap under raised source/drain region in bulk semiconductor substrate | |
| JP3764452B2 (en) | Manufacturing method of semiconductor device | |
| US11545575B2 (en) | IC structure with fin having subfin extents with different lateral dimensions | |
| TWI812241B (en) | Method of manufacturing semiconductor devices and semiconductor devices | |
| US10923469B2 (en) | Vertical resistor adjacent inactive gate over trench isolation |
Legal Events
| Date | Code | Title | Description |
|---|---|---|---|
| PB01 | Publication | ||
| PB01 | Publication | ||
| SE01 | Entry into force of request for substantive examination | ||
| SE01 | Entry into force of request for substantive examination |