JP2006054326A - Manufacturing method of semiconductor device and semiconductor device - Google Patents
Manufacturing method of semiconductor device and semiconductor device Download PDFInfo
- Publication number
- JP2006054326A JP2006054326A JP2004235015A JP2004235015A JP2006054326A JP 2006054326 A JP2006054326 A JP 2006054326A JP 2004235015 A JP2004235015 A JP 2004235015A JP 2004235015 A JP2004235015 A JP 2004235015A JP 2006054326 A JP2006054326 A JP 2006054326A
- Authority
- JP
- Japan
- Prior art keywords
- film
- barrier metal
- metal film
- forming
- thickness
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 40
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 21
- 230000004888 barrier function Effects 0.000 claims abstract description 219
- 229910052751 metal Inorganic materials 0.000 claims abstract description 218
- 239000002184 metal Substances 0.000 claims abstract description 218
- 238000000034 method Methods 0.000 claims abstract description 201
- 238000005229 chemical vapour deposition Methods 0.000 claims abstract description 79
- 230000015572 biosynthetic process Effects 0.000 claims abstract description 45
- 238000000151 deposition Methods 0.000 claims abstract description 23
- 239000004020 conductor Substances 0.000 claims abstract description 15
- 238000005240 physical vapour deposition Methods 0.000 claims description 85
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 63
- 239000000758 substrate Substances 0.000 claims description 61
- 238000009792 diffusion process Methods 0.000 claims description 34
- 239000010936 titanium Substances 0.000 claims description 17
- 239000000463 material Substances 0.000 claims description 15
- 239000007769 metal material Substances 0.000 claims description 10
- 229910052715 tantalum Inorganic materials 0.000 claims description 10
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 10
- 230000008021 deposition Effects 0.000 claims description 9
- POFFJVRXOKDESI-UHFFFAOYSA-N 1,3,5,7-tetraoxa-4-silaspiro[3.3]heptane-2,6-dione Chemical compound O1C(=O)O[Si]21OC(=O)O2 POFFJVRXOKDESI-UHFFFAOYSA-N 0.000 claims description 8
- 150000001875 compounds Chemical class 0.000 claims description 8
- 230000002265 prevention Effects 0.000 claims description 8
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 7
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 7
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 7
- 229910052719 titanium Inorganic materials 0.000 claims description 7
- 229910052710 silicon Inorganic materials 0.000 claims description 6
- 150000003377 silicon compounds Chemical class 0.000 claims description 6
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 6
- 229910052721 tungsten Inorganic materials 0.000 claims description 6
- 239000010937 tungsten Substances 0.000 claims description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 5
- 239000010703 silicon Substances 0.000 claims description 5
- 239000011810 insulating material Substances 0.000 claims description 4
- 230000008569 process Effects 0.000 abstract description 98
- 230000001603 reducing effect Effects 0.000 abstract description 17
- 238000005137 deposition process Methods 0.000 abstract 1
- 239000010408 film Substances 0.000 description 694
- 239000010949 copper Substances 0.000 description 82
- 229910010271 silicon carbide Inorganic materials 0.000 description 59
- 239000010410 layer Substances 0.000 description 48
- 229910004298 SiO 2 Inorganic materials 0.000 description 40
- 238000005755 formation reaction Methods 0.000 description 39
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 36
- 239000007789 gas Substances 0.000 description 33
- 238000010586 diagram Methods 0.000 description 22
- 239000011229 interlayer Substances 0.000 description 20
- 239000003870 refractory metal Substances 0.000 description 17
- 239000010409 thin film Substances 0.000 description 13
- 238000004544 sputter deposition Methods 0.000 description 11
- 238000007747 plating Methods 0.000 description 10
- 239000011148 porous material Substances 0.000 description 10
- 238000012545 processing Methods 0.000 description 8
- 239000002994 raw material Substances 0.000 description 8
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 7
- 230000001186 cumulative effect Effects 0.000 description 7
- 230000000694 effects Effects 0.000 description 7
- 238000005530 etching Methods 0.000 description 7
- 238000009832 plasma treatment Methods 0.000 description 7
- 238000007789 sealing Methods 0.000 description 7
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 6
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 6
- 229910045601 alloy Inorganic materials 0.000 description 6
- 239000000956 alloy Substances 0.000 description 6
- 238000001459 lithography Methods 0.000 description 6
- 239000012528 membrane Substances 0.000 description 6
- 238000010926 purge Methods 0.000 description 6
- 238000012546 transfer Methods 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- 238000009713 electroplating Methods 0.000 description 5
- 239000001307 helium Substances 0.000 description 5
- 229910052734 helium Inorganic materials 0.000 description 5
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 5
- 150000004767 nitrides Chemical class 0.000 description 5
- 229910052786 argon Inorganic materials 0.000 description 4
- 238000005498 polishing Methods 0.000 description 4
- 239000002002 slurry Substances 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 3
- 238000009825 accumulation Methods 0.000 description 3
- 229910021529 ammonia Inorganic materials 0.000 description 3
- -1 argon ions Chemical class 0.000 description 3
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 238000007740 vapor deposition Methods 0.000 description 3
- DIIIISSCIXVANO-UHFFFAOYSA-N 1,2-Dimethylhydrazine Chemical compound CNNC DIIIISSCIXVANO-UHFFFAOYSA-N 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 239000012298 atmosphere Substances 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 2
- 238000001465 metallisation Methods 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 239000012299 nitrogen atmosphere Substances 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 230000000704 physical effect Effects 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- OEIMLTQPLAGXMX-UHFFFAOYSA-I tantalum(v) chloride Chemical compound Cl[Ta](Cl)(Cl)(Cl)Cl OEIMLTQPLAGXMX-UHFFFAOYSA-I 0.000 description 2
- 229910000838 Al alloy Inorganic materials 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- 229910017755 Cu-Sn Inorganic materials 0.000 description 1
- 229910017767 Cu—Al Inorganic materials 0.000 description 1
- 229910017927 Cu—Sn Inorganic materials 0.000 description 1
- 229910017945 Cu—Ti Inorganic materials 0.000 description 1
- 229920005830 Polyurethane Foam Polymers 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 229910008807 WSiN Inorganic materials 0.000 description 1
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 230000005587 bubbling Effects 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 230000000052 comparative effect Effects 0.000 description 1
- KUNSUQLRTQLHQQ-UHFFFAOYSA-N copper tin Chemical compound [Cu].[Sn] KUNSUQLRTQLHQQ-UHFFFAOYSA-N 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000007667 floating Methods 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 239000001272 nitrous oxide Substances 0.000 description 1
- JMANVNJQNLATNU-UHFFFAOYSA-N oxalonitrile Chemical compound N#CC#N JMANVNJQNLATNU-UHFFFAOYSA-N 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 239000011496 polyurethane foam Substances 0.000 description 1
- 230000001902 propagating effect Effects 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000003892 spreading Methods 0.000 description 1
- 230000007480 spreading Effects 0.000 description 1
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 1
- 238000009736 wetting Methods 0.000 description 1
Landscapes
- Electrodes Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
Description
本発明は、半導体装置の製造方法及び半導体装置に係り、特に、半導体集積回路における金属配線層構造に関する。 The present invention relates to a semiconductor device manufacturing method and a semiconductor device, and more particularly to a metal wiring layer structure in a semiconductor integrated circuit.
低抵抗で高いエレクトロマイグレーション(EM)耐性を有する銅(Cu)配線は、高集積化し微細化されたLSI配線用の高信頼性材料として期待されている。 Copper (Cu) wiring having low resistance and high electromigration (EM) resistance is expected as a highly reliable material for highly integrated and miniaturized LSI wiring.
半導体集積回路の高集積化・高動作速度化に伴い、半導体素子間の配線を伝播する信号の遅延が集積回路の動作速度を律則するようになってきた。
特に、最近は、かかるLSIの高速性能化を達成するために、配線技術を従来のアルミ(Al)合金から低抵抗のCu或いはCu合金(以下、まとめてCuと称する。)に代える動きが進んでいる。Cuは、Al合金配線の形成において頻繁に用いられたドライエッチング法による微細加工が困難であるので、溝加工が施された絶縁膜上にCu膜を堆積し、溝内に埋め込まれた部分以外のCu膜を化学機械研磨(CMP)により除去して埋め込み配線を形成する、いわゆるダマシン(damascene)法が主に採用されている。Cu膜はスパッタ法などで薄いシード層を形成した後に電解めっき法により数100nm程度の厚さの積層膜を形成することが一般的である。
As semiconductor integrated circuits become highly integrated and operate at higher speeds, the delay of signals propagating through wiring between semiconductor elements has been governed by the operating speed of integrated circuits.
In particular, recently, in order to achieve high-speed performance of such LSI, there has been a movement to replace the wiring technology from conventional aluminum (Al) alloy to low resistance Cu or Cu alloy (hereinafter collectively referred to as Cu). It is out. Since Cu is difficult to finely process by the dry etching method frequently used in the formation of Al alloy wiring, Cu film is deposited on the insulating film subjected to the groove processing, and other than the portion embedded in the groove A so-called damascene method, in which the Cu film is removed by chemical mechanical polishing (CMP) to form a buried wiring, is mainly employed. In general, a Cu film is formed by forming a thin seed layer by sputtering or the like and then forming a laminated film having a thickness of about several hundreds of nanometers by electrolytic plating.
また、Cuは、Si系絶縁膜中へ容易に拡散するために、Cuの周囲は拡散防止膜で覆わなければならない。そのため、上述したように、ダマシンプロセスを用いてCu配線を形成する場合には、絶縁膜中に形成した溝または孔といった開口部パターンに、チタン(Ti)、タンタル(Ta)、タングステン(W)、或いはその窒化物、またはその合金といった高融点金属膜を成膜する。そして高融点金属膜を成膜した後に、Cu埋め込みを行い、Cu配線の周囲にCu拡散防止を目的とした高融点金属膜によるバリアメタル膜を配置することが一般的である。 Further, since Cu easily diffuses into the Si-based insulating film, the periphery of Cu must be covered with a diffusion preventing film. Therefore, as described above, when a Cu wiring is formed using the damascene process, titanium (Ti), tantalum (Ta), tungsten (W) is formed in an opening pattern such as a groove or a hole formed in the insulating film. Alternatively, a refractory metal film such as a nitride or an alloy thereof is formed. In general, after forming a refractory metal film, Cu is buried and a barrier metal film made of a refractory metal film for preventing Cu diffusion is disposed around the Cu wiring.
さらに、最近は層間絶縁膜として比誘電率の低い低誘電率(low−k)膜を用いることが検討されている。すなわち、比誘電率kが、約4.2のシリコン酸化膜(SiO2膜)から比誘電率kが例えば3.5以下のlow−k膜を用いることにより、配線間の寄生容量を低減することが試みられている。このようなlow−k膜とCu配線を組み合わせた多層配線構造を有する半導体装置の製造方法は次のようなものである。 Further, recently, it has been studied to use a low dielectric constant (low-k) film having a low relative dielectric constant as an interlayer insulating film. That is, by using a low-k film having a relative dielectric constant k of 3.5 or less from a silicon oxide film (SiO 2 film) having a relative dielectric constant k of about 4.2, the parasitic capacitance between wirings is reduced. It has been tried. A method of manufacturing a semiconductor device having a multilayer wiring structure in which such a low-k film and a Cu wiring are combined is as follows.
図28は、従来のlow−k膜とCu配線を組み合わせた多層配線構造を有する半導体装置の製造方法を示す工程断面図である。
図28では、デバイス部分等の形成方法は省略している。
図28(a)において、シリコン基板による基体200上にCVD(化学気層成長)等の方法により第1の絶縁膜221を成膜する。
図28(b)において、フォトリソグラフィ工程及びエッチング工程により、Cu金属配線或いはCuコンタクトプラグを形成するための溝構造(開口部H)を第1の絶縁膜221に形成する。
図28(c)において、第1の絶縁膜221上にバリアメタル膜240、Cuシード膜及びCu膜260をかかる順序で形成して、150℃から400℃の温度で約30分間アニール処理する。
図28(d)において、Cu膜260とバリアメタル膜240をCMPにより除去し、平坦化を行なうことにより、溝である開口部HにCu配線を形成する。
図28(e)において、前記Cu膜260表面に還元性プラズマ処理を施した後に第2の絶縁膜281を成膜する。
さらに、多層Cu配線を形成する場合は、これらの工程を繰り返して積層していくのが一般的である。ここで、第1の絶縁膜221と第2の絶縁膜281の大半がlow−k膜となる。
FIG. 28 is a process sectional view showing a method of manufacturing a semiconductor device having a multilayer wiring structure in which a conventional low-k film and a Cu wiring are combined.
In FIG. 28, a method for forming a device portion or the like is omitted.
In FIG. 28A, a first insulating film 221 is formed on a substrate 200 made of a silicon substrate by a method such as CVD (chemical vapor deposition).
In FIG. 28B, a groove structure (opening H) for forming a Cu metal wiring or a Cu contact plug is formed in the first insulating film 221 by a photolithography process and an etching process.
In FIG. 28C, a barrier metal film 240, a Cu seed film and a Cu film 260 are formed in this order on the first insulating film 221, and annealed at a temperature of 150 to 400 ° C. for about 30 minutes.
In FIG. 28D, the Cu film 260 and the barrier metal film 240 are removed by CMP and planarized to form a Cu wiring in the opening H that is a groove.
In FIG. 28E, a second insulating film 281 is formed after the surface of the Cu film 260 is subjected to reducing plasma treatment.
Furthermore, when forming multilayer Cu wiring, it is common to repeat these processes and to laminate. Here, most of the first insulating film 221 and the second insulating film 281 are low-k films.
ここで、かかるバリアメタル膜は、従来、物理気層成長(PVD)法により形成されてきたため、溝または孔パターン側壁部における成膜速度が著しく低下する。その結果、側壁部でも拡散防止をおこなうことができる側壁部での成膜膜厚を確保するには、基板表面において15nm程度以上の膜厚を成膜する必要があった。 Here, since such a barrier metal film has been conventionally formed by a physical vapor deposition (PVD) method, the film formation rate on the side wall of the groove or hole pattern is significantly reduced. As a result, in order to secure a film thickness on the side wall portion that can prevent diffusion also on the side wall portion, it is necessary to form a film thickness of about 15 nm or more on the substrate surface.
次世代デバイスにおいては層間絶縁膜として低誘電率膜、特に誘電率を下げるために、空孔を有する多孔質低誘電率(p−lowk)膜の使用が検討されている。言い換えれば、比誘電率kが2.5以下のlow−k膜材料の開発も進められており、これらは材料中に空孔が入ったポーラス材料となっているものが多い。今後さらにCu配線の微細化が進むにつれて、Cuに比べて高抵抗であるバリアメタルの薄膜化は必須となってくる。極薄膜のバリアメタルを成膜するために、検討されている手法として、CVD原子層気相成長(ALD:Atomic Layer
Deposition)法がある(例えば、非特許文献1,2参照)。この手法は原料ガスを交互に供給し、原子層レベルでの成膜を行う手法である。
In next-generation devices, the use of a low dielectric constant film as an interlayer insulating film, in particular, a porous low dielectric constant (p-lowk) film having pores is being studied in order to lower the dielectric constant. In other words, the development of low-k film materials having a relative dielectric constant k of 2.5 or less has been promoted, and many of these are porous materials having pores in the material. As Cu wiring is further miniaturized in the future, it is essential to reduce the thickness of the barrier metal, which has a higher resistance than Cu. In order to form an ultra-thin barrier metal film, CVD atomic layer vapor deposition (ALD) has been studied.
There is a Deposition method (for example, see Non-Patent Documents 1 and 2). This method is a method of performing film formation at the atomic layer level by alternately supplying source gases.
図29は、ALD法によるバリアメタルの成膜例を示すガスの供給フロー図である。
まず、タンタル(Ta)原料の供給を行う。例えば、塩化タンタル(TaCl5)を用いて説明する。この時、セルフリミッティング効果により、ある一定量以上は吸着しない。次にアルゴン(Ar)によりパージを行う。つづいて、アンモニア(NH3)の供給を行うことにより、バリアメタルとしての窒化タンタル(TaN)を形成する。最後にArによりパージを行う。この一連の作業を1サイクルとして、必要な膜厚分サイクルを繰り返すことで成膜を行う。
図30は、ALD法において、TaN膜が形成される様子を説明するための概念図である。
図30(a)において、TaR20(Ta化合物)を供給することにより、基体10にTaR20(Ta化合物)が吸着する。また、基体10の周辺には、吸着していないTaR20が浮遊する。
図30(b)において、Arを供給することにより、浮遊するTaR20が置換される。
図30(c)において、NH3を供給することにより基体10に吸着されたTaR20を還元してTaN膜22が形成される。
FIG. 29 is a gas supply flow diagram showing an example of barrier metal film formation by the ALD method.
First, a tantalum (Ta) raw material is supplied. For example, it will be described with reference to tantalum chloride (TaCl 5). At this time, a certain amount or more is not adsorbed due to the self-limiting effect. Next, purging is performed with argon (Ar). Subsequently, by supplying ammonia (NH 3 ), tantalum nitride (TaN) as a barrier metal is formed. Finally, purge is performed with Ar. This series of operations is defined as one cycle, and film formation is performed by repeating a cycle corresponding to the required film thickness.
FIG. 30 is a conceptual diagram for explaining how a TaN film is formed in the ALD method.
In FIG. 30A, TaR20 (Ta compound) is adsorbed on the substrate 10 by supplying TaR20 (Ta compound). Further, TaR 20 that is not adsorbed floats around the base 10.
In FIG. 30B, the floating TaR 20 is replaced by supplying Ar.
In FIG. 30C, by supplying NH 3 , TaR 20 adsorbed on the substrate 10 is reduced to form a TaN film 22.
その他、バリアメタル膜に関連する技術として、化学気層成長(CVD)法によりバリアメタル膜を成膜する場合に、多孔質低誘電率(p−lowk)膜中にバリアメタル膜の成膜ガスが拡散しないように、PVD法により一端、第1のバリアメタル膜を形成した後にCVD法による第2のバリアメタル膜を形成する。そして、さらに、PVD法による第3のバリアメタル膜を形成するとする技術が開示されている(例えば、特許文献1参照)。
上述したように、PVD法により、CuがSi系絶縁膜中に拡散することを防止する高融点金属を用いたバリアメタル膜を形成する場合、溝または孔パターン側壁部における成膜速度が著しく低下する。その結果、側壁部でも拡散防止をおこなうことができる側壁部での成膜膜厚を確保するには、基板表面において15nm程度以上の膜厚を成膜する必要があった。同様に、側壁部での膜厚を確保するため、側壁部成膜速度より大きい速度で成膜される、溝または孔の底部にも必要以上に厚く成膜されることになる(底部成膜速度>>側壁部成膜速度)。かかる高融点金属を用いたバリアメタル膜の抵抗値は、Cuと比較して著しく高いために、溝部と孔部の接続面となるそれぞれの底部にバリアメタル膜が厚く成膜されることは、接続抵抗の増加を招くといった問題があった。 As described above, when a barrier metal film using a refractory metal that prevents Cu from diffusing into the Si-based insulating film is formed by the PVD method, the film formation rate on the sidewall of the groove or hole pattern is significantly reduced. To do. As a result, in order to secure a film thickness on the side wall portion that can prevent diffusion also on the side wall portion, it is necessary to form a film thickness of about 15 nm or more on the substrate surface. Similarly, in order to secure the film thickness at the side wall, the film is formed at a rate higher than the film forming rate at the side wall, and the film is formed to be thicker than necessary at the bottom of the groove or hole (bottom film formation). Speed >> Side film forming speed). Since the resistance value of the barrier metal film using such a refractory metal is remarkably higher than that of Cu, the thick barrier metal film is formed on each bottom portion which becomes the connection surface between the groove and the hole. There was a problem that the connection resistance was increased.
一方、ALD法を含むCVD法により成膜する場合、PVD法により成膜する場合と比較して底部成膜速度と側壁部成膜速度との差が小さい。よって、CVD法によるバリアメタル膜の薄膜成膜が検討されている。しかし、CVD法により成膜した高融点金属を用いたバリアメタル膜は、Cuとの濡れ性が悪い。
図31は、CVD法により高融点金属を用いたバリアメタル膜を成膜した半導体装置の断面図である。
図31では、下層配線(M1)と上層配線(M2)とを接続するヴィアの上部と、上層配線(M2)の下部との間にCVD法により成膜したバリアメタルが存在するために、ヴィアが、上層配線(M2)側から分離して密着していない様子が写し出されている。このように、CVD法により成膜した高融点金属を用いたバリアメタル膜は、Cuとの濡れ性が悪いため、密着性が悪く溝部(上層配線)と孔部(ヴィア)の接続歩留まりが得られないといった問題があった。
On the other hand, when the film is formed by the CVD method including the ALD method, the difference between the bottom film forming rate and the side wall film forming rate is small compared to the case where the film is formed by the PVD method. Therefore, a thin film formation of a barrier metal film by a CVD method has been studied. However, a barrier metal film using a refractory metal formed by a CVD method has poor wettability with Cu.
FIG. 31 is a cross-sectional view of a semiconductor device in which a barrier metal film using a refractory metal is formed by a CVD method.
In FIG. 31, there is a barrier metal formed by the CVD method between the upper portion of the via connecting the lower layer wiring (M1) and the upper layer wiring (M2) and the lower portion of the upper layer wiring (M2). However, the state where it is separated from the upper wiring (M2) side and is not in close contact is shown. As described above, the barrier metal film using a refractory metal film formed by the CVD method has poor wettability with Cu, so that the adhesion is poor and the connection yield between the groove (upper layer wiring) and the hole (via) is obtained. There was a problem that it was not possible.
ここで、前記特許文献1には、上述したようにPVD法により形成された第1と第3のバリア膜でCVD法により形成された第2のバリア膜を挟む技術が開示されている。PVD膜でCVD膜を挟むことによりCuとの濡れ性の問題を解決できるようにも思えるが、単に、PVD膜でCVD膜を挟むだけでは、バリアメタル膜を薄膜化することはできない。
図32は、PVD法により10nm形成されたバリアメタル膜を通してCuが拡散している様子を示す図である。
図32では、TaNとTaの積層膜を基板上での値として10nm形成した場合でも絶縁膜側壁ではCuが絶縁膜中に拡散している様子を示している。めっき法によりCuを堆積させるが、めっき液の分子の大きさでは、TaNとTaの積層膜を10nm形成した場合でもCuが絶縁膜中に拡散してしまうことがわかる。上述したように、PVD膜を用いて側壁部でも拡散防止をおこなうためには、基板表面において15nm程度以上の膜厚を成膜する必要がある。前記特許文献1では、CVD法による成膜ガスを絶縁膜中に拡散しないようにPVD膜を成膜するとしている。ここで、成膜ガスよりも分子が大きいめっき液が拡散する程度のバリアメタル膜の膜厚では、めっき液よりも分子が小さい成膜ガスも拡散するため、前記特許文献1では、さらに、厚い膜厚のバリアメタル膜をPVD法により形成することを前提としていることがわかる。よって、前記特許文献1の技術では、バリアメタル膜を薄膜化し、配線抵抗を低減することはできない。
Here, as described above, Patent Document 1 discloses a technique of sandwiching the second barrier film formed by the CVD method between the first and third barrier films formed by the PVD method. Although it seems that the problem of wettability with Cu can be solved by sandwiching the CVD film with the PVD film, the barrier metal film cannot be thinned only by sandwiching the CVD film with the PVD film.
FIG. 32 is a diagram illustrating a state in which Cu is diffused through a barrier metal film formed to a thickness of 10 nm by the PVD method.
FIG. 32 shows that Cu is diffused into the insulating film on the side wall of the insulating film even when a laminated film of TaN and Ta is formed with a value of 10 nm on the substrate. Although Cu is deposited by a plating method, it can be seen that Cu diffuses into the insulating film even when a 10 nm thick layer of TaN and Ta is formed in terms of the molecular size of the plating solution. As described above, in order to prevent diffusion even at the side wall portion using the PVD film, it is necessary to form a film thickness of about 15 nm or more on the substrate surface. In Patent Document 1, the PVD film is formed so as not to diffuse the deposition gas by the CVD method into the insulating film. Here, in the film thickness of the barrier metal film such that the plating solution having molecules larger than the deposition gas diffuses, the deposition gas having molecules smaller than the plating solution also diffuses. It can be seen that it is assumed that a barrier metal film having a film thickness is formed by the PVD method. Therefore, the technique of Patent Document 1 cannot reduce the wiring resistance by reducing the thickness of the barrier metal film.
本発明は、上述した問題点を克服し、配線抵抗を低減させながら歩留まりを向上させたバリアメタル膜を形成することを目的とする。 An object of the present invention is to overcome the above-described problems and to form a barrier metal film with improved yield while reducing wiring resistance.
本発明の半導体装置の製造方法は、
基体上に絶縁膜を形成する絶縁膜形成工程と、
前記絶縁膜に開口部を形成する開口部形成工程と、
物理気相成長(PVD)法を用いて、前記絶縁膜上と開口部内とに第1のバリアメタル膜を形成する第1のバリアメタル膜形成工程と、
前記第1のバリアメタル膜上に、化学気相成長(CVD)法を用いて第2のバリアメタル膜を形成する第2のバリアメタル膜形成工程と、
前記第2のバリアメタル膜上に、PVD法を用いて第3のバリアメタル膜を形成する第3のバリアメタル膜形成工程と、
前記第3のバリアメタル膜上に、導電性材料を堆積させる堆積工程と、
を備え、
前記絶縁膜上に形成された前記第1と第2と第3のバリアメタル膜の合計膜厚が、8nmより小さくなるように形成することを特徴とする。
A method for manufacturing a semiconductor device of the present invention includes:
An insulating film forming step of forming an insulating film on the substrate;
An opening forming step of forming an opening in the insulating film;
A first barrier metal film forming step of forming a first barrier metal film on the insulating film and in the opening using a physical vapor deposition (PVD) method;
A second barrier metal film forming step of forming a second barrier metal film on the first barrier metal film using a chemical vapor deposition (CVD) method;
A third barrier metal film forming step of forming a third barrier metal film on the second barrier metal film using a PVD method;
A deposition step of depositing a conductive material on the third barrier metal film;
With
The total thickness of the first, second and third barrier metal films formed on the insulating film is formed to be smaller than 8 nm.
後述するように、PVD膜でCVD膜を挟んだ場合に、前記第1と第2と第3のバリアメタル膜の合計膜厚を8nm以上とすると、長い時間が経過した後では配線間の歩留まりが著しく低下してしまうことを発明者等は見出した。
そこで、前記第1と第2と第3のバリアメタル膜の合計膜厚が、8nmより小さくなるように形成することにより、長い時間が経過した後でも配線間の歩留まりを維持させることができる。
As will be described later, when the CVD film is sandwiched between PVD films and the total film thickness of the first, second and third barrier metal films is 8 nm or more, the yield between the wirings after a long time has passed. The inventors found that the remarkably decreased.
Therefore, by forming the total film thickness of the first, second and third barrier metal films so as to be smaller than 8 nm, the yield between the wirings can be maintained even after a long time has elapsed.
さらに、前記第2のバリアメタル膜形成工程において、前記絶縁膜上に形成された前記第2のバリアメタル膜の膜厚が、2nmより小さくなるように形成することを特徴とする。 Further, the second barrier metal film forming step is characterized in that the second barrier metal film formed on the insulating film is formed to have a thickness smaller than 2 nm.
後述するように、CVD膜を2nm以上とすると配線抵抗(特に、ヴィア抵抗)が高くなることを発明者等は見出した。
そこで、第2のバリアメタル膜の膜厚が、2nmより小さくなるように形成することにより、配線抵抗(特に、ヴィア抵抗)を低く抑えることができる。
As will be described later, the inventors have found that the wiring resistance (particularly, via resistance) increases when the CVD film is 2 nm or more.
Therefore, by forming the second barrier metal film so that the film thickness is smaller than 2 nm, the wiring resistance (particularly, via resistance) can be suppressed low.
さらに、前記第2のバリアメタル膜の膜厚が、前記第1と第3のバリアメタル膜のいずれの膜厚よりも薄くなるように形成することを特徴とする。 Further, the second barrier metal film is formed so as to be thinner than any of the first and third barrier metal films.
前記第2のバリアメタル膜の膜厚が、前記第1と第3のバリアメタル膜のいずれの膜厚よりも薄くなるように形成することにより、薄膜化を図りながら実効性のあるPVD膜を形成することができる。 By forming the second barrier metal film so as to be thinner than both the first and third barrier metal films, an effective PVD film can be obtained while reducing the thickness. Can be formed.
前記第2のバリアメタル膜形成工程において、前記CVD法として、原子層気相成長(ALD)法を用いると2nm未満の膜形成において特に有効である。 In the second barrier metal film forming step, if an atomic layer vapor deposition (ALD) method is used as the CVD method, it is particularly effective in forming a film having a thickness of less than 2 nm.
また、前記第1と第2と第3のバリアメタル膜は、それぞれ、材料として、タンタル(Ta)とチタン(Ti)とタングステン(W)とTa化合物とTi化合物とW化合物とのいずれかを用いると特に有効である。 The first, second, and third barrier metal films are made of tantalum (Ta), titanium (Ti), tungsten (W), Ta compound, Ti compound, and W compound, respectively. It is particularly effective when used.
前記半導体装置の形成方法は、さらに、前記第1のバリアメタル膜形成工程前に、前記開口部の壁面に拡散防止膜を形成する拡散防止膜形成工程を備えたことを特徴とする。 The method for forming a semiconductor device further includes a diffusion preventing film forming step of forming a diffusion preventing film on the wall surface of the opening before the first barrier metal film forming step.
開口部の壁面に前記拡散防止膜を形成することにより、壁面におけるCu及びCVDバリアメタル膜の拡散を防止することができる。 By forming the diffusion preventing film on the wall surface of the opening, it is possible to prevent diffusion of Cu and the CVD barrier metal film on the wall surface.
さらに、前記拡散防止膜形成工程において、前記拡散防止膜の膜厚が、20nm以下になるように形成すると特に有効である。 Furthermore, in the diffusion preventing film forming step, it is particularly effective to form the diffusion preventing film so that the film thickness is 20 nm or less.
そして、前記拡散防止膜形成工程において、CVD法を用いて前記拡散防止膜を形成すると容易に形成しやすく特に有効である。 In the diffusion prevention film forming step, it is easy to form the diffusion prevention film using the CVD method, and it is particularly effective.
さらに、前記拡散防止膜形成工程において、前記拡散防止膜の材料として、シリコン化合物を用いると特に有効である。 Furthermore, it is particularly effective to use a silicon compound as the material of the diffusion preventing film in the diffusion preventing film forming step.
前記シリコン化合物として、炭化シリコン(SiC)と炭窒化シリコン(SiCN)と炭酸化シリコン(SiOC)と窒化シリコン(SiN)との内、少なくとも1つを用いると有効である。 It is effective to use at least one of silicon carbide (SiC), silicon carbonitride (SiCN), silicon carbonate (SiOC), and silicon nitride (SiN) as the silicon compound.
さらに、前記絶縁膜は、比誘電率2.5以下の低誘電率膜を用いると微細配線化を進める上でなおよい。 Further, if the insulating film is a low dielectric constant film having a relative dielectric constant of 2.5 or less, it is more preferable for further miniaturization.
そして、前記導電性材料として、銅(Cu)を用いることで、低抵抗化させることができる。 The resistance can be reduced by using copper (Cu) as the conductive material.
本発明の半導体装置は、
導電性材料を用いた第1の導電膜と、
前記第1の導電膜上に形成された前記導電性材料を用いた第2の導電膜と、
前記第2の導電膜の側面側に配置される、絶縁性材料を用いた絶縁膜と、
バリアメタル材料を用いて、前記第2の導電膜と前記絶縁膜との間と、前記第1と第2の導電膜の間とに形成された、化学気相成長(CVD)法を用いて形成されたCVD膜と物理気相成長(PVD)法を用いて前記CVD膜を挟むように形成された2つのPVD膜とを有するバリアメタル膜と、
を備え、
前記バリアメタル膜は、前記第1と第2の導電膜の間において、前記CVD膜と前記2つのPVD膜との合計膜厚が、6.2nmより小さく形成されることを特徴とする。
The semiconductor device of the present invention is
A first conductive film using a conductive material;
A second conductive film using the conductive material formed on the first conductive film;
An insulating film using an insulating material, disposed on a side surface of the second conductive film;
Using a barrier metal material, a chemical vapor deposition (CVD) method formed between the second conductive film and the insulating film and between the first and second conductive films is used. A barrier metal film having a formed CVD film and two PVD films formed to sandwich the CVD film using a physical vapor deposition (PVD) method;
With
The barrier metal film is characterized in that a total film thickness of the CVD film and the two PVD films is smaller than 6.2 nm between the first and second conductive films.
前記第2の導電膜は、前記絶縁膜に設けられた開口部に前記導電性材料を堆積させる。また、後述するように、バリアメタル膜の合計膜厚が絶縁膜表面で8nm未満、CVD膜が2nm未満に形成されると配線抵抗を低く抑えながら時間が経過した後でも導電膜間の歩留まりを維持させることができることを発明者等は見出した。すなわち、2つのPVD膜の合計膜厚が絶縁膜表面で6nm以下である場合には特に有効であると言える。ここで、PVD膜は、開口部底部では、絶縁膜表面の70%程度の膜厚が形成される。よって、第2の導電膜の開口部底部にあたる前記第1と第2の導電膜の間において、前記CVD膜と前記2つのPVD膜との合計膜厚が、6.2nmより小さく形成されると時間が経過した後でも導電膜間の歩留まりを維持させることができ、特に有効となる。 The second conductive film deposits the conductive material in an opening provided in the insulating film. Further, as will be described later, when the total thickness of the barrier metal film is less than 8 nm on the surface of the insulating film and the CVD film is less than 2 nm, the yield between the conductive films is increased even after a lapse of time while keeping the wiring resistance low. The inventors have found that it can be maintained. That is, it can be said that it is particularly effective when the total film thickness of the two PVD films is 6 nm or less on the surface of the insulating film. Here, the PVD film has a film thickness of about 70% of the surface of the insulating film at the bottom of the opening. Therefore, when the total film thickness of the CVD film and the two PVD films is formed to be smaller than 6.2 nm between the first and second conductive films corresponding to the bottom of the opening of the second conductive film. Even after a lapse of time, the yield between the conductive films can be maintained, which is particularly effective.
さらに、前記バリアメタル膜において、前記CVD膜は、前記2つのPVD膜のいずれよりも膜厚が薄く形成されることを特徴とする。 Further, in the barrier metal film, the CVD film is formed thinner than any of the two PVD films.
前記CVD膜は、前記2つのPVD膜のいずれよりも膜厚が薄く形成されることにより、薄膜化を図りながら実効性のあるPVD膜を形成することができる。 The CVD film is formed thinner than any of the two PVD films, so that an effective PVD film can be formed while reducing the thickness.
前記半導体装置は、さらに、前記バリアメタル膜と前記絶縁膜との間に形成された拡散防止膜を備えたことを特徴とする。 The semiconductor device further includes a diffusion prevention film formed between the barrier metal film and the insulating film.
上述したように、前記バリアメタル膜と前記絶縁膜との間に拡散防止膜を備えることにより壁面におけるCu及びCVDバリアメタル膜の拡散を防止することができる。 As described above, by providing a diffusion prevention film between the barrier metal film and the insulating film, it is possible to prevent the diffusion of Cu and the CVD barrier metal film on the wall surface.
本発明によれば、前記第1と第2と第3のバリアメタル膜の合計膜厚が、成膜時基板上において8nmより小さくなるように形成することにより、従来よりも薄膜化することができる。薄膜化することができるので、配線抵抗を低減することができる。さらに、バリアメタル膜の合計膜厚が、8nmより小さくなるように形成することにより、時間が経過した後でも配線間の歩留まりを維持させることができる。 According to the present invention, the total film thickness of the first, second, and third barrier metal films can be made thinner than before by forming the total film thickness on the substrate during film formation to be smaller than 8 nm. it can. Since the film thickness can be reduced, the wiring resistance can be reduced. Furthermore, by forming the total thickness of the barrier metal film so as to be smaller than 8 nm, the yield between the wirings can be maintained even after a lapse of time.
実施の形態1.
実施の形態1では、下層配線上にヴィアを形成する場合について説明する。
図1は、実施の形態1における半導体装置の製造方法の要部を表すフローチャートである。
図1において、本実施の形態では、下層配線層上にヴィアを形成する場合にヴィア用の層間絶縁膜形成工程として、SiC膜を形成するSiC膜形成工程(S102)、多孔質の絶縁性材料を用いたp−lowk膜を形成するp−lowk膜形成工程(S104)、p−lowk膜表面をプラズマ処理するヘリウム(He)プラズマ処理工程(S106)、SiO2膜を形成するSiO2膜形成工程(S108)と、開口部を形成する開口部形成工程(S110)と、拡散防止膜形成工程としてのポアシーリング工程(S112)と、エッチバック工程(S114)と、バリアメタル膜形成工程として、PVD法による第1のバリアメタル膜形成工程(S116)、CVD法による第2のバリアメタル膜形成工程(S118)、PVD法による第3のバリアメタル膜形成工程(S120)と、ヴィア形成工程となる導電性材料を堆積させる導電性材料堆積工程として、シード膜形成工程(S122)、めっき工程(S124)と、平坦化工程(S126)という一連の工程を実施する。
Embodiment 1 FIG.
In the first embodiment, a case where a via is formed on a lower layer wiring will be described.
FIG. 1 is a flowchart showing the main part of the semiconductor device manufacturing method according to the first embodiment.
In FIG. 1, in the present embodiment, when forming a via on a lower wiring layer, as an interlayer insulating film forming process for via, an SiC film forming process (S102) for forming an SiC film, a porous insulating material A p-lowk film forming step (S104) for forming a p-lowk film using Pt, a helium (He) plasma processing step (S106) for plasma-treating the surface of the p-lowk film, and a SiO 2 film forming step for forming a SiO 2 film As a step (S108), an opening forming step (S110) for forming an opening, a pore sealing step (S112) as a diffusion preventing film forming step, an etch back step (S114), and a barrier metal film forming step, First barrier metal film forming step by PVD method (S116), second barrier metal film forming step by CVD method (S118), PVD method A third barrier metal film forming step (S120), and a conductive material depositing step for depositing a conductive material to be a via forming step, a seed film forming step (S122), a plating step (S124), and a planarizing step. A series of steps (S126) is performed.
図2は、ALD法によるTaN膜の膜厚の違いによるヴィア抵抗と累積確立との関係を示す図である。
ここで、まず、発明者等は、CVD法、ここでは特にALD法を用いて成膜したTaN膜の膜厚の違いによるヴィア抵抗(Via Resistance)と累積確立(Cumulative Probability)との関係を調べた。サンプル構造として、上下の配線幅が160nmのものを用いた。比較対象として、PVD法により成膜時基板上においてTaN膜を10nm、Ta膜を15nm成膜した場合も測定した。図2に示すように、ヴィア抵抗は、ALD膜が成膜時基板上において2nm(2nm以上3nm未満の値)では、膜厚の大きいPVD膜よりもヴィア抵抗が高いのに対し、ALD膜が成膜時基板上において1nm(1nm以上2nm未満の値)では、膜厚の大きいPVD膜よりもヴィア抵抗が低い値であることがわかる。言い換えれば、ALD膜をバリアメタル膜として用いる場合には、成膜時基板上において2nmより小さい(2nm未満)膜厚で用いるとヴィア抵抗の上昇を抑えることができることを発明者等は見出した。
FIG. 2 is a diagram showing the relationship between the via resistance and the cumulative probability due to the difference in the thickness of the TaN film by the ALD method.
Here, first, the inventors investigated the relationship between the via resistance (cumulative probability) due to the difference in film thickness of the TaN film formed by the CVD method, particularly the ALD method, and the cumulative probability (cumulative probability). It was. A sample structure having an upper and lower wiring width of 160 nm was used. As a comparison object, measurement was also performed when a TaN film of 10 nm and a Ta film of 15 nm were formed on the substrate during film formation by the PVD method. As shown in FIG. 2, the via resistance is higher than that of the PVD film having a large film thickness when the ALD film is 2 nm (value of 2 nm or more and less than 3 nm) on the substrate when the ALD film is formed. It can be seen that the via resistance is lower than that of the PVD film having a large film thickness at 1 nm (value of 1 nm or more and less than 2 nm) on the substrate during film formation. In other words, the inventors have found that when an ALD film is used as a barrier metal film, an increase in via resistance can be suppressed by using a film thickness smaller than 2 nm (less than 2 nm) on the substrate during film formation.
さらに、発明者等は、CVD法によるバリアメタル膜をPVD法によるバリアメタル膜で挟み込むことによりヴィア抵抗の上昇を低減させることができることを見出した。ここでは、サンプル構造として、上下の配線幅が5umのものを用いた。また、この抵抗値は15000個のチェーンパターンの総抵抗を接続ヴィア数で割った値を示している。
図3は、バリアメタル膜の構成の違いによるヴィア抵抗と累積確立との関係を示す図である。
図3(a)では、CVD(ここでは、特に、ALD)法によるTaN膜とPVD法によるTa膜との積層膜において、溝に埋め込まれるCu側(upper側)にPVD法によるTa膜を形成した場合を示している。
図3(b)では、CVD(ここでは、特に、ALD)法によるTaN膜とPVD法によるTa膜との積層膜において、下層配線側(lower側)にPVD法によるTa膜を形成した場合を示している。
図3(c)では、CVD(ここでは、特に、ALD)法によるTaN膜とPVD法によるTa膜との積層膜において、ALD法によるTaNをPVD法による2つのTa膜で挟み込んだ場合(サンドウィッチ(sandwich)構造)を示している。
図3(d)では、図3(a)の構成の場合、図3(b)の構成の場合、図3(c)の構成の場合、さらに、PVD法による膜のみの場合(PVD)、ALD法による膜のみの場合(ALDonly)とで、ヴィア抵抗と累積確立との関係を比較している。
図3(d)で示すように、ALD法によるTaNをPVD法によるTa膜で挟み込んだサンドウィッチ構造の場合が、ヴィア抵抗がALD法による膜のみの場合よりも小さく、さらに、一方だけPVD膜を設けるより変化が少ないことがわかる。
Furthermore, the inventors have found that an increase in via resistance can be reduced by sandwiching a barrier metal film by a CVD method with a barrier metal film by a PVD method. Here, a sample structure having an upper and lower wiring width of 5 μm was used. The resistance value is a value obtained by dividing the total resistance of 15000 chain patterns by the number of connected vias.
FIG. 3 is a diagram showing the relationship between the via resistance and the cumulative probability due to the difference in the configuration of the barrier metal film.
In FIG. 3A, a Ta film formed by PVD is formed on the Cu side (upper side) embedded in the groove in a stacked film of a TaN film formed by CVD (here, in particular, ALD) and a Ta film formed by PVD. Shows the case.
In FIG. 3B, a case where a Ta film by the PVD method is formed on the lower wiring side (lower side) in a laminated film of a TaN film by the CVD (here, particularly, ALD) method and a Ta film by the PVD method is shown. Show.
In FIG. 3C, in a stacked film of a TaN film by CVD (here, in particular, ALD) and a Ta film by PVD, TaN by ALD is sandwiched between two Ta films by PVD (sandwich) (Sandwich) structure).
3D, in the case of the structure of FIG. 3A, in the case of the structure of FIG. 3B, in the case of the structure of FIG. 3C, and further in the case of only a film by the PVD method (PVD), The relationship between the via resistance and the cumulative probability is compared in the case of only the film by the ALD method (ALD only).
As shown in FIG. 3D, the sandwich structure in which TaN by ALD method is sandwiched between Ta films by PVD method has a smaller via resistance than the case of only the film by ALD method. It can be seen that there is less change than providing.
ここで、図2で説明したサンプル構造は、上下の配線幅が160nmであった。この場合には、配線側からヴィアに発生するストレスが小さいために、ALD単独の1nmでも接続歩留が得られており、界面抵抗の低減によってヴィア抵抗の低減効果が得られている。しかしながら、配線側からヴィアに発生するストレスが大きくなる上下接続配線幅が例えば5umの場合には、配線/ヴィア間の密着性がALD単独では低いために接続歩留が確保できないといった問題が発生する。よって、図3(d)では、ALD法による膜のみの場合(ALDonly)、ヴィア抵抗が急激に大きくなっていく。そこで、発明者等は、密着性低下の原因がALD−TaN/Cu材料間の濡れ性に起因すると考えたため、ウエッティングレイヤーとしてのPVD−Taで挟むことで解決することを見出した。PVD膜で挟むことで、配線側からヴィアに発生するストレスが大きい場合はもちろんのこと、上下の配線幅が小さく配線側からヴィアに発生するストレスが小さい場合でもヴィア抵抗を低減させることができる。 Here, in the sample structure described in FIG. 2, the upper and lower wiring widths were 160 nm. In this case, since the stress generated in the via from the wiring side is small, the connection yield is obtained even with 1 nm of ALD alone, and the effect of reducing the via resistance is obtained by reducing the interface resistance. However, when the upper and lower connection wiring width in which the stress generated in the via from the wiring side becomes large is 5 μm, for example, there is a problem that the connection yield cannot be secured because the adhesion between the wiring / via is low by ALD alone. . Therefore, in FIG. 3D, when only the film by the ALD method is used (ALD only), the via resistance increases rapidly. Therefore, the inventors considered that the cause of the decrease in adhesion was due to the wettability between the ALD-TaN / Cu materials, and found that the problem was solved by sandwiching with PVD-Ta as a wetting layer. By sandwiching between the PVD films, the via resistance can be reduced not only when the stress generated in the via from the wiring side is large, but also when the upper and lower wiring width is small and the stress generated in the via from the wiring side is small.
また、上述したように、図3では、ヴィアチェーンパターンを形成する上下の接続配線幅が図2の場合より太くなっている。そして、図3では、この抵抗値は15000個のチェーンパターンの総抵抗を接続ヴィア数で割った値を示している。そのため、ヴィア抵抗には、若干の配線付加抵抗が含まれた値となっている。よって、図3(d)では、図2と比べ、抵抗の値が相違しているが、これは上下配線幅に起因した配線抵抗の差である。 As described above, in FIG. 3, the upper and lower connection wiring widths forming the via chain pattern are thicker than those in FIG. In FIG. 3, this resistance value is a value obtained by dividing the total resistance of 15000 chain patterns by the number of connected vias. For this reason, the via resistance has a value including some wiring additional resistance. Therefore, the resistance value in FIG. 3D is different from that in FIG. 2, but this is a difference in wiring resistance due to the upper and lower wiring widths.
図4は、サンドウィッチ構造にしたバリアメタル膜のヴィア歩留まりとストレス時間との関係を示す図である。
さらに、発明者等は、サンドウィッチ構造にしたバリアメタル膜のヴィア歩留まり(Via Yield)とストレス時間(Stress Time)との関係を調べた。図4では、ALD法によるTaN膜を1nm(1nm以上2nm未満の値)の膜厚とした。溝に埋め込まれるCu側(upper側)に5nm(5nm以上6nm未満の値)のPVD−Ta膜と下層配線側(lower側)に2nm(2nm以上3nm未満の値)のPVD−Ta膜とで前記1nmのALD−TaN膜を挟んだ場合(合計膜厚が8nm以上)と、溝に埋め込まれるCu側(upper側)に2nm(2nm以上3nm未満の値)のPVD−Ta膜と下層配線側(lower側)に5nm(5nm以上6nm未満の値)のPVD−Ta膜とで前記1nmのALD−TaN膜を挟んだ場合(合計膜厚が8nm以上)とでは、ストレスをかける時間が経過するにつれてヴィア歩留まりが著しく低下していくことがわかる。これらに対し、溝に埋め込まれるCu側(upper側)に2nm(2nm以上3nm未満の値)のPVD−Ta膜と下層配線側(lower側)に2nm(2nm以上3nm未満の値)のPVD−Ta膜とで前記1nmのALD−TaN膜を挟んだ場合(合計膜厚が5nm(5nm以上8nm未満の値となる))では、ストレスをかける時間が経過してもヴィア歩留まりが安定していることがわかる。言い換えれば、溝に埋め込まれるCu側(upper側)のPVD−Ta膜と下層配線側(lower側)のPVD−Ta膜と中間のALD−TaN膜との合計膜厚が、成膜時基板上において8nmより小さい場合には、ヴィア歩留まりの低下を抑制することができることを発明者等は見出した。
FIG. 4 is a diagram showing the relationship between the via yield of the barrier metal film having a sandwich structure and the stress time.
Furthermore, the inventors investigated the relationship between the via yield of the barrier metal film having a sandwich structure and the stress time. In FIG. 4, the TaN film formed by the ALD method has a thickness of 1 nm (a value not less than 1 nm and less than 2 nm). A PVD-Ta film with a thickness of 5 nm (a value between 5 nm and less than 6 nm) on the Cu side (upper side) and a PVD-Ta film with a thickness of 2 nm (a value between 2 nm and less than 3 nm) on the lower wiring side (lower side) When the ALD-TaN film of 1 nm is sandwiched (total film thickness is 8 nm or more), PVD-Ta film of 2 nm (value of 2 nm or more and less than 3 nm) and lower wiring side on the Cu side (upper side) embedded in the groove When the 1 nm ALD-TaN film is sandwiched between a PVD-Ta film of 5 nm (value of 5 nm or more and less than 6 nm) on the (lower side) (total film thickness is 8 nm or more), the time for applying stress elapses. It can be seen that the via yield decreases significantly as the time elapses. On the other hand, a PVD-Ta film of 2 nm (value of 2 nm or more and less than 3 nm) on the Cu side (upper side) embedded in the groove and a PVD-Ta of 2 nm (value of 2 nm or more and less than 3 nm) on the lower wiring side (lower side) When the ALD-TaN film of 1 nm is sandwiched between Ta films (total film thickness is 5 nm (having a value of 5 nm or more and less than 8 nm)), the via yield is stable even after the time for applying stress. I understand that. In other words, the total film thickness of the PVD-Ta film on the Cu side (upper side), the PVD-Ta film on the lower wiring side (lower side), and the intermediate ALD-TaN film embedded in the groove is The inventors found that when the thickness is smaller than 8 nm, it is possible to suppress a decrease in via yield.
よって、PVD法とCVD法とを併用して形成される高融点金属膜の積層構造は、CVD法を用いて形成される成膜時基板上において2nmより小さい薄膜高融点金属膜を、PVD法を用いて形成される薄膜高融点金属で挟み込んだ3層積層構造とし、成膜時基板上において合計膜厚を8nmより小さくすることで、CVD法による高融点金属を用いたバリアメタル膜とCuとの濡れ性を改善し、溝部(下層配線)と孔部(ヴィア)との接続歩留まりを確保することができる。 Therefore, the laminated structure of the refractory metal film formed by using both the PVD method and the CVD method is a PVD method in which a thin film refractory metal film having a thickness of less than 2 nm is formed on the substrate formed by using the CVD method. A barrier metal film using a refractory metal by CVD and Cu is formed by forming a three-layer structure sandwiched between thin film refractory metals formed by using a thin film and making the total film thickness smaller than 8 nm on the substrate during film formation. And the connection yield between the groove (lower layer wiring) and the hole (via) can be secured.
図5は、図1のフローチャートに対応して実施される工程を表す工程断面図である。
図5では、図1のSiC膜形成工程(S102)からSiO2膜形成工程(S108)までを示している。それ以降の工程は後述する。
FIG. 5 is a process sectional view showing a process performed corresponding to the flowchart of FIG.
FIG. 5 shows from the SiC film formation step (S102) to the SiO 2 film formation step (S108) in FIG. Subsequent steps will be described later.
図5(a)において、SiC膜形成工程として、下層配線層が形成された基体200上に、CVD法によって、SiCを用いた膜厚50nmの下地炭化シリコン(SiC)膜を堆積し、SiC膜275を形成する。ここでは、CVD法によって成膜しているが、その他の方法を用いても構わない。SiC膜275は、エッチングストッパとしての機能も有する。SiC膜を生成するのは難しいためSiC膜の代わりに炭酸化シリコン(SiOC)膜を用いても構わない。或いは、炭窒化シリコン(SiCN)膜、窒化シリコン(SiN)膜を用いることができる。基体200として、例えば、直径300ミリのシリコンウェハ等の基板を用いる。下層配線層は、デバイス層210上に、下地SiC膜212とp−lowk膜220とキャップSiO2膜222とが形成された下層配線用層間絶縁膜に、バリアメタル膜240とシード膜250とCu膜260とが形成されている。デバイス層210には、コンタクトプラグ、或いは、その他の層が形成されていても構わない。 In FIG. 5A, as a SiC film forming step, a base silicon carbide (SiC) film having a film thickness of 50 nm using SiC is deposited on the substrate 200 on which the lower wiring layer is formed by a CVD method. 275 is formed. Here, the film is formed by the CVD method, but other methods may be used. The SiC film 275 also has a function as an etching stopper. Since it is difficult to generate the SiC film, a silicon carbonate (SiOC) film may be used instead of the SiC film. Alternatively, a silicon carbonitride (SiCN) film or a silicon nitride (SiN) film can be used. As the substrate 200, for example, a substrate such as a silicon wafer having a diameter of 300 mm is used. The lower wiring layer is an interlayer insulating film for a lower wiring in which a base SiC film 212, a p-lowk film 220, and a cap SiO 2 film 222 are formed on the device layer 210, a barrier metal film 240, a seed film 250, and Cu. A film 260 is formed. Contact plugs or other layers may be formed on the device layer 210.
図5(b)において、ポーラスlow−k(p−lowk)膜形成工程として、基体200の上に形成された前記SiC絶縁膜形成工程により形成されたSiC膜275の上に多孔質の絶縁性材料を用いたp−lowk膜280を250nmの厚さで形成する。p−lowk膜280を形成することで、比誘電率kが3.5よりも低い層間絶縁膜を得ることができる。p−lowk膜280の材料としては、例えば、多孔質のメチルシルセスキオキサン(methyl silsequioxane:MSQ)を用いることができる。また、その形成方法としては、例えば、溶液をスピンコートし熱処理して薄膜を形成するSOD(spin on dielectic coating号と氏名又は名称、代理人の氏名、)法を用いることができる。例えば、スピナーの回転数は900min−1(900rpm)で成膜する。このウェハをホットプレート上で窒素雰囲気中250℃の温度でベークを行い、最終的にホットプレート上で窒素雰囲気中450℃の温度で10分間のキュアを行なう。MSQの材料や形成条件などを適宜調節することにより、所定の物性値を有する多孔質の絶縁膜が得られる。例えば、密度が0.7g/cm3で比誘電率kが1.8となる。low−k膜のSiとOとCの組成比は、Siが25から35%の範囲、Oが45から57%の範囲、Cが13から24%の範囲にある物性値を有するp−lowk膜280が得られる。 In FIG. 5B, as a porous low-k (p-lowk) film forming step, a porous insulating property is formed on the SiC film 275 formed by the SiC insulating film forming step formed on the substrate 200. A p-lowk film 280 using a material is formed with a thickness of 250 nm. By forming the p-lowk film 280, an interlayer insulating film having a relative dielectric constant k lower than 3.5 can be obtained. As a material of the p-lowk film 280, for example, porous methylsilsesquioxane (MSQ) can be used. As the formation method, for example, a SOD (spin on selective coating number and name, name of agent) method of forming a thin film by spin-coating a solution and heat-treating can be used. For example, the film is formed at a rotation speed of the spinner of 900 min −1 (900 rpm). The wafer is baked on a hot plate at a temperature of 250 ° C. in a nitrogen atmosphere, and finally cured on a hot plate at a temperature of 450 ° C. in a nitrogen atmosphere for 10 minutes. A porous insulating film having a predetermined physical property value can be obtained by appropriately adjusting the MSQ material, formation conditions, and the like. For example, the density is 0.7 g / cm 3 and the relative dielectric constant k is 1.8. The composition ratio of Si, O, and C in the low-k film is p-lowk having physical properties in which Si is in the range of 25 to 35%, O is in the range of 45 to 57%, and C is in the range of 13 to 24%. A membrane 280 is obtained.
そして、Heプラズマ処理工程として、このp−lowk膜280表面をヘリウム(He)プラズマ照射によって表面改質する。Heプラズマ照射によって表面が改質されることで、p−lowk膜280とp−lowk膜280上に形成する後述するキャップ膜としてのCVD−SiO2膜284との接着性を改善することができる。ガス流量は、例えば、1.7Pa・m3/s(1000sccm)、ガス圧力は1000Pa、高周波パワーは500W、低周波パワーは400W、温度は400℃とする。キャップCVD膜をp−lowk膜上に成膜する際は、p−lowk膜表面にプラズマ処理を施すことがキャップCVD膜との接着性を改善する上で有効である。プラズマガスの種類としてはアンモニア(NH3)、亜酸化窒素(N2O)、水素(H2)、He、酸素(O2)、シラン(SiH4)、アルゴン(Ar)、窒素(N2)などがあり、これらの中でもHeプラズマはp−lowk膜へのダメージが少ないために特に有効である。また、プラズマガスはこれらのガスを混合したものでも良い。例えば、Heガスは他のガスと混合して用いると効果的である。 Then, as a He plasma treatment process, the surface of the p-lowk film 280 is modified by helium (He) plasma irradiation. By modifying the surface by He plasma irradiation, the adhesion between the p-lowk film 280 and a CVD-SiO 2 film 284 as a cap film, which will be described later, formed on the p-lowk film 280 can be improved. . For example, the gas flow rate is 1.7 Pa · m 3 / s (1000 sccm), the gas pressure is 1000 Pa, the high frequency power is 500 W, the low frequency power is 400 W, and the temperature is 400 ° C. When the cap CVD film is formed on the p-lowk film, it is effective to improve the adhesion with the cap CVD film by performing plasma treatment on the surface of the p-lowk film. As types of plasma gas, ammonia (NH 3 ), nitrous oxide (N 2 O), hydrogen (H 2 ), He, oxygen (O 2 ), silane (SiH 4 ), argon (Ar), nitrogen (N 2 ) Among these, He plasma is particularly effective because it causes little damage to the p-lowk film. The plasma gas may be a mixture of these gases. For example, it is effective to use He gas mixed with other gases.
図5(c)において、SiO2膜形成工程として、前記Heプラズマ処理を行った後、キャップ膜として、CVD法によってp−lowk膜280上にSiO2を膜厚50nm堆積することで、SiO2膜284を形成する。SiO2膜284を形成することで、直接リソグラフィを行うことができないp−lowk膜280を保護し、p−lowk膜280にパターンを形成することができる。かかるキャップCVD膜は、SiO2膜、SiC膜、SiOC膜、SiCN膜などがあるが、ダメージ低減の観点からはSiO2膜が優れ、低誘電率化の観点からはSiOC膜が、耐圧向上の観点からはSiC膜やSiCN膜が優れている。さらに、SiO2膜とSiC膜の積層膜、もしくはSiO2膜とSiCO膜の積層膜、もしくはSiO2膜とSiCN膜の積層膜を用いることができる。さらにキャップCVD膜の一部、もしくは全てが後述する平坦化工程において化学機械研磨(ケミカル・メカニカル・ポリッシング:chemical mechanical polishing:CMP)により除去されても良い。キャップ膜を除去することで誘電率をさらに低減することができる。キャップ膜の厚さとしては10nmから150nmが良く、10nmから50nmが実効的な比誘電率を低減する上で効果的である。 In FIG. 5 (c), as the SiO 2 film forming step, said after the He plasma treatment, as a cap film, the SiO 2 by a thickness of 50nm is deposited on the p-low k film 280 by the CVD method, SiO 2 A film 284 is formed. By forming the SiO 2 film 284, the p-lowk film 280 that cannot be directly subjected to lithography can be protected, and a pattern can be formed in the p-lowk film 280. Such cap CVD films include SiO 2 films, SiC films, SiOC films, SiCN films, etc., but from the viewpoint of reducing damage, the SiO 2 film is excellent, and from the viewpoint of reducing the dielectric constant, the SiOC film has improved breakdown voltage. From the viewpoint, the SiC film and the SiCN film are excellent. Furthermore, it is possible to use SiO 2 film and the SiC film laminated film of, or SiO 2 film and the SiCO film laminated film of, or a laminated film of SiO 2 film and SiCN film. Furthermore, a part or all of the cap CVD film may be removed by chemical mechanical polishing (CMP) in a planarization step described later. The dielectric constant can be further reduced by removing the cap film. The thickness of the cap film is preferably 10 nm to 150 nm, and 10 nm to 50 nm is effective in reducing the effective relative dielectric constant.
以上の説明において、層間絶縁膜は、比誘電率が3.5以下のlow−k膜でなくても構わないが、low−k膜、特に、比誘電率kが2.5以下、空孔率が30%以上の多孔質のp−lowk膜を含む場合に特に有効である。 In the above description, the interlayer insulating film may not be a low-k film having a relative dielectric constant of 3.5 or less, but is a low-k film, particularly a relative dielectric constant k of 2.5 or less, This is particularly effective when a porous p-lowk film having a rate of 30% or more is included.
図6は、図1のフローチャートに対応して実施される工程を表す工程断面図である。
図6では、図1の開口部形成工程(S110)からエッチバック工程(S114)までを示している。それ以降の工程は後述する。
FIG. 6 is a process sectional view showing a process performed corresponding to the flowchart of FIG.
FIG. 6 shows from the opening forming step (S110) to the etch-back step (S114) in FIG. Subsequent steps will be described later.
図6(a)において、開口部形成工程として、リソグラフィ工程とドライエッチング工程でダマシン配線を作製するための配線溝構造である開口部150をSiO2膜284とp−lowk膜280内に形成する。図示していないレジスト塗布工程、露光工程等のリソグラフィ工程を経てSiO2膜284の上にレジスト膜が形成された基体200に対し、露出したSiO2膜284とその下層に位置するp−lowk膜280を、下地SiC膜275をエッチングストッパとして異方性エッチング法により除去して開口部150を形成すればよい。異方性エッチング法を用いることで、基体200の表面に対し、略垂直に開口部150を形成することができる。例えば、一例として、反応性イオンエッチング法により開口部150を形成すればよい。 In FIG. 6A, as an opening forming process, an opening 150 which is a wiring groove structure for producing a damascene wiring is formed in the SiO 2 film 284 and the p-lowk film 280 by a lithography process and a dry etching process. . An exposed SiO 2 film 284 and a p-lowk film positioned under the exposed SiO 2 film 284 with respect to the substrate 200 on which the resist film is formed on the SiO 2 film 284 through a lithography process such as a resist coating process and an exposure process (not shown). The opening 150 may be formed by removing 280 by anisotropic etching using the underlying SiC film 275 as an etching stopper. By using the anisotropic etching method, the opening 150 can be formed substantially perpendicular to the surface of the substrate 200. For example, as an example, the opening 150 may be formed by a reactive ion etching method.
図6(b)において、拡散防止膜形成工程の一例であるポアシーリング工程として、前記開口部形成工程により形成された開口部150及びSiO2膜284表面に、CVD法によって、SiCを用いた開口部壁面での膜厚が5nmとなるまでSiC膜を堆積し、SiC膜230を形成する。ここでは、形成のし易さの観点から高密度プラズマを用いたCVD法によって成膜しているが、その他の方法を用いても構わない。後述するバリアメタル膜、特に、PVD法による第1のバリアメタル膜の膜厚を薄くするため、CVD法(ALD法)による第2のバリアメタル膜形成の際の成膜ガスのp−lowk膜280への拡散を防止することが困難となる。また、PVD法及びCVD法によるバリアメタル膜の積層膜合計膜厚を8nm未満とするため、バリアメタル膜だけではその後堆積させるCuのp−lowk膜280への拡散を防止することが困難となる。そこで、p−lowk膜280壁面にサイドウォールとなるSiC膜230を形成することで、バリアメタル膜と共に、CVD成膜ガスのp−lowk膜280への拡散、及びCuのp−lowk膜280への拡散を防止することができる。 In FIG. 6B, as a pore sealing process which is an example of a diffusion prevention film forming process, an opening using SiC is formed on the surface of the opening 150 and the SiO 2 film 284 formed by the opening forming process by a CVD method. The SiC film is deposited until the film thickness on the partial wall surface reaches 5 nm, and the SiC film 230 is formed. Here, the film is formed by the CVD method using high-density plasma from the viewpoint of ease of formation, but other methods may be used. In order to reduce the film thickness of a barrier metal film, which will be described later, in particular, the first barrier metal film by the PVD method, a p-lowk film of a deposition gas when forming the second barrier metal film by the CVD method (ALD method) It becomes difficult to prevent diffusion to 280. Further, since the total film thickness of the barrier metal films formed by the PVD method and the CVD method is less than 8 nm, it is difficult to prevent diffusion of Cu deposited thereafter into the p-lowk film 280 only with the barrier metal film. . Therefore, by forming the SiC film 230 serving as a sidewall on the wall surface of the p-lowk film 280, diffusion of the CVD film forming gas into the p-lowk film 280 and the Cu p-lowk film 280 together with the barrier metal film. Can be prevented from spreading.
図7は、CVD装置の概念図である。
図7において、装置350では、チャンバ300の内部にて、基体200上、さらに言えば、基板上に前工程までの処理が施された基体10を下部電極310を兼ねた所定の温度に制御された基板ホルダの上に設置する。そして、チャンバ300の内部に上部電極320内部から成膜ガスを供給する。真空ポンプ330により所定の成膜圧力になるように真空引きされたチャンバ300の内部の上記上部電極320と下部電極310との間に高周波電源を用いてプラズマを生成させる。そして、基体10をガスのプラズマの雰囲気に晒し、化学気相成長させることで開口部150内面及び基体10の上面にSiCを成膜し、SiC膜230を形成する。前記SiC膜230の膜厚を5nmで形成することで、その後に形成される配線やビアの断面積を大きくすることができる。配線やビアの断面積を大きくすることができるので、配線抵抗やビア抵抗の増加を防ぐことができる。よって、配線抵抗やビア抵抗が増加して、半導体装置の動作速度を低下させてしまうことを防ぐことができる。さらに、配線抵抗やビア抵抗の増加を防ぐことにより半導体装置の動作に高い電源電圧が必要となることを防ぎ、消費電力の増加を防止することができる。薄く形成することで層間絶縁膜としての誘電率の上昇を防ぐことができる。
FIG. 7 is a conceptual diagram of a CVD apparatus.
In FIG. 7, in the apparatus 350, the substrate 10 that has been processed up to the previous process on the substrate 200, more specifically, the substrate 10 is controlled to a predetermined temperature that also serves as the lower electrode 310 inside the chamber 300. Place on the substrate holder. Then, a deposition gas is supplied into the chamber 300 from the upper electrode 320. Plasma is generated using a high-frequency power source between the upper electrode 320 and the lower electrode 310 inside the chamber 300 evacuated to a predetermined film forming pressure by the vacuum pump 330. Then, the substrate 10 is exposed to a gas plasma atmosphere, and chemical vapor deposition is performed to form SiC on the inner surface of the opening 150 and the upper surface of the substrate 10, thereby forming the SiC film 230. By forming the SiC film 230 with a thickness of 5 nm, it is possible to increase the cross-sectional area of wirings and vias formed thereafter. Since the cross-sectional area of the wiring and via can be increased, an increase in wiring resistance and via resistance can be prevented. Therefore, it can be prevented that the wiring resistance and the via resistance are increased and the operation speed of the semiconductor device is lowered. Further, by preventing an increase in wiring resistance and via resistance, it is possible to prevent a high power supply voltage from being required for the operation of the semiconductor device and to prevent an increase in power consumption. By forming it thin, an increase in dielectric constant as an interlayer insulating film can be prevented.
特に、比誘電率kが2.5以下の場合、ヴィア部に露出したp−lowk膜の側壁が、CVD膜で被覆されていることが望ましい。その理由は、比誘電率が2.5以下の場合はポーラス膜であることが多く、ポアシーリングをCu配線の側壁で行うことが有効だからである。特に、ALD法を含むCVD法によってバリアメタル膜を成膜する場合は有効である。CVD膜の膜厚は、ここでは、壁面で5nmとしているが、基体上で20nm以下になるように形成することが望ましい。厚すぎると壁面での膜厚が厚くなり配線幅が狭くなる。その結果、配線抵抗に影響するため、配線や接続配線となるビアの断面積を大きくして配線抵抗やビア抵抗(接続抵抗)を下げることが望ましい。ポアシーリング用のCVD膜の種類としては、シリコン化合物、特に窒化膜或いは炭化膜であるSiC膜、SiCN膜、SiCO膜、SiN膜が望ましい。特に、低誘電率の観点からSiC膜が最適である。 In particular, when the relative dielectric constant k is 2.5 or less, it is desirable that the sidewall of the p-lowk film exposed at the via portion is covered with a CVD film. The reason is that when the relative dielectric constant is 2.5 or less, it is often a porous film, and it is effective to perform pore sealing on the side wall of the Cu wiring. This is particularly effective when a barrier metal film is formed by a CVD method including an ALD method. The film thickness of the CVD film is 5 nm on the wall surface here, but it is desirable to form it on the substrate to be 20 nm or less. If it is too thick, the film thickness on the wall surface becomes thick and the wiring width becomes narrow. As a result, since it affects the wiring resistance, it is desirable to increase the cross-sectional area of the via serving as the wiring or connection wiring to reduce the wiring resistance or via resistance (connection resistance). As the kind of the pore sealing CVD film, a silicon compound, particularly a SiC film, a SiCN film, a SiCO film, or a SiN film, which is a nitride film or a carbonized film, is desirable. In particular, a SiC film is optimal from the viewpoint of a low dielectric constant.
図6(c)において、エッチバック工程として、開口部150の底面に形成されて残っていたSiC膜230とSiO2膜284上のSiC膜230とをエッチバックにより除去する。そして、SiC膜230をエッチバックにより除去する際、同時に、開口部150の底面に形成されて残っていたSiC膜275をエッチバックにより除去する。開口部150の底面に形成されて残っていたSiC膜275を除去することで、開口部150の底面には、SiC膜275及びSiC膜230が堆積しないため、その後形成される導電性材料と下層の導電性材料との導電性を低下させることを防ぐことができる。 In FIG. 6C, as the etch back process, the remaining SiC film 230 formed on the bottom surface of the opening 150 and the SiC film 230 on the SiO 2 film 284 are removed by etch back. Then, when the SiC film 230 is removed by etch back, the remaining SiC film 275 formed on the bottom surface of the opening 150 is simultaneously removed by etch back. By removing the remaining SiC film 275 formed on the bottom surface of the opening 150, the SiC film 275 and the SiC film 230 are not deposited on the bottom surface of the opening 150. Decreasing the conductivity with the conductive material can be prevented.
図8は、図1のフローチャートに対応して実施される工程を表す工程断面図である。
図8では、図1の第1のバリアメタル膜形成工程(S116)から第3のバリアメタル膜形成工程(S120)までを示している。それ以降の工程は後述する。
図8(a)において、第1のバリアメタル膜形成工程として、側壁にSiC膜230が形成された開口部150及びSiO2膜248表面にバリアメタル材料を用いたバリアメタル膜241を形成する。物理気相成長法(physical vapor deposition:PVD)法の1つであるスパッタ法を用いるスパッタリング装置内で窒化タンタル(TaN)を基体上において平均膜厚が2.5nmになるように堆積し、バリアメタル膜241を形成する。
FIG. 8 is a process sectional view showing a process performed corresponding to the flowchart of FIG.
FIG. 8 shows from the first barrier metal film forming step (S116) to the third barrier metal film forming step (S120) in FIG. Subsequent steps will be described later.
In FIG. 8A, as a first barrier metal film forming step, an opening 150 in which an SiC film 230 is formed on the sidewall and a barrier metal film 241 using a barrier metal material are formed on the surface of the SiO 2 film 248. Tantalum nitride (TaN) is deposited on the substrate so as to have an average film thickness of 2.5 nm in a sputtering apparatus using a sputtering method which is one of physical vapor deposition (PVD) methods, and a barrier is formed. A metal film 241 is formed.
図9は、スパッタリング装置の概念図である。
チャンバ700内を真空ポンプ730により真空引きして、高真空を形成し、高真空中で陰極に接続されたターゲット720にアルゴンイオンを衝突させ、ターゲット720を構成する分子(ここでは、TaN)をスパッタリング現象によりたたき出し、対向する位置において陽極に接続された、基体200上、さらに言えば、基板上に前工程までの処理が施された基体10に堆積させる。Taターゲットを用いて窒素(N2)ガスを含む雰囲気中でスパッタリングしてもよい。
FIG. 9 is a conceptual diagram of a sputtering apparatus.
The inside of the chamber 700 is evacuated by a vacuum pump 730 to form a high vacuum, and argon ions collide with the target 720 connected to the cathode in the high vacuum, and molecules (in this case, TaN) constituting the target 720 are allowed to collide. The substrate is knocked out by a sputtering phenomenon and is deposited on the substrate 200 connected to the anode at the opposite position, more specifically, the substrate 10 that has been subjected to the process up to the previous step on the substrate. Sputtering may be performed in an atmosphere containing nitrogen (N 2 ) gas using a Ta target.
PVD膜であるバリアメタル膜241を下層配線となるCu膜260上に形成することにより濡れ性を改善し、下層配線とヴィアとの密着性を向上させることができる。
ここで、第1のバリアメタル膜として、バリアメタル膜241の基体上に堆積させる膜厚(トップ膜厚)は、3nm以下が望ましい。特に、2.5nm程度(例えば、2〜3nm)にするとなお良い。ここで、上述したように、次工程で形成されるALD膜を挟むPVD膜の合計は6nm以下が望ましい。一方で、PVD法を用いて制御可能な薄膜化を図るには限界がある。よって、基体上に堆積させる膜厚を片側3nm以下とすることで、PVD法を用いた制御可能な薄膜を形成することができる。基体上に3nm以下のバリアメタル膜241を堆積させることで、下層配線となるCu膜260上(すなわち、開口部150の底部)の膜厚(ボトム膜厚)は、トップ膜厚の60〜70%となり、すなわち、開口部150の底部には1.8〜2.1nmの膜厚のバリアメタル膜241が形成される。よって、バリアメタル膜241の開口部150の底部に堆積させる膜厚(ボトム膜厚)は、2.1nm以下が望ましい。
By forming the barrier metal film 241 that is a PVD film on the Cu film 260 serving as the lower layer wiring, the wettability can be improved and the adhesion between the lower layer wiring and the via can be improved.
Here, as the first barrier metal film, the film thickness (top film thickness) deposited on the substrate of the barrier metal film 241 is desirably 3 nm or less. In particular, about 2.5 nm (for example, 2 to 3 nm) is even better. Here, as described above, the total of PVD films sandwiching the ALD film formed in the next step is desirably 6 nm or less. On the other hand, there is a limit to achieving a thin film that can be controlled using the PVD method. Therefore, a controllable thin film using the PVD method can be formed by setting the film thickness to be deposited on the substrate to 3 nm or less on one side. By depositing a barrier metal film 241 of 3 nm or less on the substrate, the film thickness (bottom film thickness) on the Cu film 260 (that is, the bottom of the opening 150) serving as the lower layer wiring is 60 to 70 of the top film thickness. That is, a barrier metal film 241 having a thickness of 1.8 to 2.1 nm is formed on the bottom of the opening 150. Therefore, the film thickness (bottom film thickness) deposited on the bottom of the opening 150 of the barrier metal film 241 is desirably 2.1 nm or less.
図8(b)において、第2のバリアメタル膜形成工程として、第1のバリアメタル膜241上にバリアメタル材料を用いたバリアメタル膜242を形成する。ここでは、原子層気相成長(atomic layer deposition:ALD法、あるいは、atomic layer chemical vapor deposition:ALCVD法)を含むCVD法を用いて装置内でTaNを基体上において平均膜厚が2nmより小さくなるように堆積し、バリアメタル膜242を形成する。特に、1nm(1nm以上2nm未満の値)にするとなお良い。 In FIG. 8B, as a second barrier metal film forming step, a barrier metal film 242 using a barrier metal material is formed on the first barrier metal film 241. Here, the average film thickness of TaN is smaller than 2 nm on the substrate in the apparatus using a CVD method including atomic layer vapor deposition (ALD method or atomic layer chemical vapor deposition: ALCVD method). Thus, a barrier metal film 242 is formed. In particular, 1 nm (a value of 1 nm or more and less than 2 nm) is more preferable.
図10は、TaN膜形成工程における各ガスの供給フローを示す図である。
ここでは、バリアメタル膜242として、TaN膜を成膜する。まず、第2のバリアメタル膜成膜のためのメタル原料として、ペンタジエチルタンタル(Ta[N(C2H5)2]5)を用い、メタル原料と反応する反応種の一例である、前記メタル原料の還元ガスとして、アンモニア(NH3)を用い、パージガスとして、水素(H2)を用いる。パージガスとして、H2を用いることで、次の反応性を高めることができる。さらに、H2は純度を高めることができるので、クリーニングに適している。
Ta[N(C2H5)2]5供給工程として、Ta[N(C2H5)2]5を1s供給する。その後、H2供給工程として、H2を1s供給してパージする。そして、NH3供給工程として、NH3を1s供給する。そしてH2供給工程として、H2を1s供給してパージする。かかる工程を1サイクルとして、成膜温度300℃にて、10サイクルの供給を行なう。
FIG. 10 is a diagram showing a supply flow of each gas in the TaN film formation step.
Here, a TaN film is formed as the barrier metal film 242. First, pentadiethyl tantalum (Ta [N (C 2 H 5 ) 2 ] 5 ) is used as a metal raw material for forming the second barrier metal film, and is an example of a reactive species that reacts with the metal raw material, Ammonia (NH 3 ) is used as the reducing gas for the metal raw material, and hydrogen (H 2 ) is used as the purge gas. By using H 2 as the purge gas, the following reactivity can be enhanced. Furthermore, since H 2 can increase the purity, it is suitable for cleaning.
As a Ta [N (C 2 H 5 ) 2 ] 5 supply step, Ta [N (C 2 H 5 ) 2 ] 5 is supplied for 1 s. Thereafter, as an H 2 supply step, H 2 is supplied for 1 s and purged. Then, the NH 3 supply process, the NH 3 1s supplies. Then, as the H 2 supply step, H 2 is supplied for 1 s and purged. This process is defined as one cycle, and 10 cycles are supplied at a film forming temperature of 300 ° C.
図11は、ALD装置の概要構成を示す図である。
図11において、チャンバ600の内部にて、基体200上、さらに言えば、基板上に前工程までの処理が施された基体10を所定の温度に制御された基板ホルダ(ウェハステージ)610の上に設置する。そして、チャンバ600の内部に上部からガスを供給する。また、真空ポンプ630によりチャンバ600の内部が所定の圧力になるように真空引きされる。容器650に入った固体のTa[N((C2H5)2]5を50〜70℃に加熱して暖める。暖められ溶融したTa[N(C2H5)2]5内にキャリアガスとしてH2ガスを供給することで、H2と共にガス化したTa[N(C2H5)2]5を一種のバブリング法によりチャンバ600に供給することができる。
FIG. 11 is a diagram showing a schematic configuration of the ALD apparatus.
In FIG. 11, inside the chamber 600, on the substrate 200, more specifically, on the substrate holder (wafer stage) 610 controlled to a predetermined temperature on the substrate 10 that has been processed up to the previous step. Install in. Then, gas is supplied into the chamber 600 from above. Further, the vacuum pump 630 is evacuated so that the inside of the chamber 600 becomes a predetermined pressure. Solid Ta [N ((C 2 H 5 ) 2 ] 5 contained in the container 650 is heated to 50 to 70 ° C. The carrier is contained in the heated and melted Ta [N (C 2 H 5 ) 2 ] 5 . By supplying H 2 gas as gas, Ta [N (C 2 H 5 ) 2 ] 5 gasified together with H 2 can be supplied to the chamber 600 by a kind of bubbling method.
ここで、ガス量は、Ta[N(C2H5)2]5について、0.5Pa・m3/s(300sccm)〜1.68Pa・m3/s(1000sccm)が望ましい。NH3について、1.68Pa・m3/s(1000sccm)〜3.36Pa・m3/s(2000sccm)が望ましい。パージガスであるH2について、1.68Pa・m3/s(1000sccm)〜3.36Pa・m3/s(2000sccm)が望ましい。成膜圧力は、665Pa(5Torr)以下が望ましい。成膜温度は、250〜300℃が望ましい。 Here, the amount of gas, Ta for [N (C 2 H 5) 2] 5, 0.5Pa · m 3 /s(300sccm)~1.68Pa · m 3 / s (1000sccm) is desirable. For NH 3, 1.68Pa · m 3 /s(1000sccm)~3.36Pa · m 3 / s (2000sccm) is desirable. For H 2 is purge, 1.68Pa · m 3 /s(1000sccm)~3.36Pa · m 3 / s (2000sccm) is desirable. The film forming pressure is desirably 665 Pa (5 Torr) or less. The film forming temperature is preferably 250 to 300 ° C.
また、TaN膜形成にあたり、メタル原料として、塩化タンタル(TaCl5)や、ペンタジエメルタンタル(Ta[N(CH3)2]5)等を用いてもよい。 In forming the TaN film, tantalum chloride (TaCl 5 ), pentadiemmel tantalum (Ta [N (CH 3 ) 2 ] 5 ), or the like may be used as a metal raw material.
また、メタル原料の還元ガスとして、ヒドラジン(H2NNH2)或いは、1−1ジメチルヒドラジンや1−2ジメチルヒドラジン等のヒドラジン化合物を用いても構わない。ヒドラジン或いはヒドラジン化合物を用いることによりNH3より還元作用を強くすることができる。 Further, hydrazine (H 2 NNH 2 ) or a hydrazine compound such as 1-1 dimethyl hydrazine or 1-2 dimethyl hydrazine may be used as a reducing gas for the metal raw material. By using hydrazine or a hydrazine compound, the reducing action can be made stronger than NH 3 .
さらに、パージガスとして、アルゴン(Ar)や窒素(N2)やヘリウム(He)を用いても構わない。Arを用いることで、安価でかつ扱い易くすることができる。 Further, argon (Ar), nitrogen (N 2 ), or helium (He) may be used as the purge gas. By using Ar, it can be made cheap and easy to handle.
図12は、複数のチャンバを備えた装置の概要を説明するための概念図である。
図12において、装置500は、複数のチャンバ510,520,530を有している。カセット室550にウェハをセットし、搬送室540において、搬送ロボットが、各チャンバにウェハを搬送或いは搬出する。前記第1のバリアメタル膜成膜と前記第2のバリアメタル膜成膜と後述する第3のバリアメタル膜成膜とを真空搬送可能な同一装置内において行なうことでプロセスを安定化させることができる。或いはいずれか2つの成膜を真空搬送可能な同一装置内において行なうことでプロセスを安定化させることができる。また、外気にウェハを晒すことなく処理するため、パーティクルの付着を防止することができる。例えば、第1のバリアメタル膜成膜をチャンバ510にて行ない、第1のバリアメタル膜成膜をチャンバ520にて行なう。
FIG. 12 is a conceptual diagram for explaining an outline of an apparatus including a plurality of chambers.
In FIG. 12, the apparatus 500 has a plurality of chambers 510, 520, and 530. A wafer is set in the cassette chamber 550, and in the transfer chamber 540, a transfer robot transfers or unloads the wafer to each chamber. The first barrier metal film formation, the second barrier metal film formation, and a third barrier metal film formation described later can be performed in the same apparatus capable of vacuum transfer to stabilize the process. it can. Alternatively, the process can be stabilized by performing any two film formations in the same apparatus capable of vacuum transfer. Further, since the processing is performed without exposing the wafer to the outside air, adhesion of particles can be prevented. For example, the first barrier metal film is formed in the chamber 510, and the first barrier metal film is formed in the chamber 520.
図13は、ALD装置の他の概要構成例を示す図である。
図11における装置では、チャンバ600上部から基体10の大きさに関わらず、また、ガスの進行方向に関わらずガスを供給しているが、図13に示すように、基体10と平行する平板となるシャワーヘッド620から基体10全面に向けて均一にガスを供給するように構成するとなお良い。その他の構成は、図11と同様であるので省略する。
FIG. 13 is a diagram illustrating another schematic configuration example of the ALD apparatus.
In the apparatus shown in FIG. 11, gas is supplied from the upper part of the chamber 600 regardless of the size of the substrate 10 and regardless of the gas traveling direction. As shown in FIG. It is more preferable that the gas is uniformly supplied from the shower head 620 to the entire surface of the base 10. Other configurations are the same as those in FIG.
図8(c)において、第3のバリアメタル膜形成工程として、第2のバリアメタル膜242上にバリアメタル材料を用いたバリアメタル膜243を形成する。物理気相成長法(physical vapor deposition:PVD)法の1つであるスパッタ法を用いるスパッタリング装置内で窒化タンタル(TaN)を基体上において平均膜厚が2.5nmになるように堆積し、バリアメタル膜243を形成する。装置構成は、図8(a)における第1のバリアメタル膜形成工程と同様で構わないため省略する。 In FIG. 8C, as a third barrier metal film forming step, a barrier metal film 243 using a barrier metal material is formed on the second barrier metal film 242. Tantalum nitride (TaN) is deposited on the substrate so as to have an average film thickness of 2.5 nm in a sputtering apparatus using a sputtering method which is one of physical vapor deposition (PVD) methods, and a barrier is formed. A metal film 243 is formed. The apparatus configuration is the same as the first barrier metal film forming step in FIG.
PVD膜であるバリアメタル膜243をALD膜であるバリアメタル膜242上に形成することにより、バリアメタル膜243上に形成される後述するCuヴィアとの濡れ性を改善し、下層配線とヴィアとの密着性を向上させることができる。
ここで、第3のバリアメタル膜として、バリアメタル膜243の基体上に堆積させる膜厚(トップ膜厚)は、3nm以下が望ましい。特に、2.5nm程度(例えば、2〜3nm)にするとなお良い。上述したように、ALD膜を挟むPVD膜の合計は6nm以下が望ましい。一方で、PVD法を用いて制御可能な薄膜化を図るには限界がある。よって、基体上に堆積させる膜厚を片側3nm以下とすることで、PVD法を用いた制御可能な薄膜を形成することができる。基体上に3nm以下のバリアメタル膜243を堆積させることで、開口部150の底部の膜厚(ボトム膜厚)は、トップ膜厚の60〜70%となり、すなわち、開口部150の底部には1.8〜2.1nmの膜厚のバリアメタル膜243が形成される。よって、バリアメタル膜243の開口部150の底部に堆積させる膜厚(ボトム膜厚)は、2.1nm以下が望ましい。
By forming the barrier metal film 243 that is a PVD film on the barrier metal film 242 that is an ALD film, the wettability with Cu vias, which will be described later, formed on the barrier metal film 243 is improved. It is possible to improve the adhesion.
Here, as the third barrier metal film, the film thickness (top film thickness) deposited on the base of the barrier metal film 243 is desirably 3 nm or less. In particular, about 2.5 nm (for example, 2 to 3 nm) is even better. As described above, the total of PVD films sandwiching the ALD film is desirably 6 nm or less. On the other hand, there is a limit to achieving a thin film that can be controlled using the PVD method. Therefore, a controllable thin film using the PVD method can be formed by setting the film thickness to be deposited on the substrate to 3 nm or less on one side. By depositing a barrier metal film 243 of 3 nm or less on the substrate, the bottom film thickness (bottom film thickness) of the opening 150 becomes 60 to 70% of the top film thickness. A barrier metal film 243 having a thickness of 1.8 to 2.1 nm is formed. Therefore, the film thickness (bottom film thickness) deposited on the bottom of the opening 150 of the barrier metal film 243 is desirably 2.1 nm or less.
以上のように、第1と第2と第3のバリアメタル膜のトップ膜厚の合計は、8nmより小さいことが望ましい。さらに、ALD膜では、形成位置における膜厚変化が小さいことから、第2のバリアメタル膜のボトム膜厚も2nmより小さいことが望ましく、第1と第2と第3のバリアメタル膜のボトム膜厚の合計は、6.2nmより小さいことが望ましい。 As described above, the sum of the top film thicknesses of the first, second, and third barrier metal films is desirably smaller than 8 nm. Further, in the ALD film, since the change in film thickness at the formation position is small, it is desirable that the bottom film thickness of the second barrier metal film is also smaller than 2 nm, and the bottom film of the first, second, and third barrier metal films. The total thickness is preferably less than 6.2 nm.
また、前記第2のバリアメタル膜242の膜厚が、前記第1と第3のバリアメタル膜のいずれの膜厚よりも薄くなるように形成することより、薄膜化を図りながらPVD法を用いた制御可能な実効性のあるPVD膜を形成することができる。 Further, the PVD method is used while reducing the film thickness by forming the second barrier metal film 242 so as to be thinner than both the first and third barrier metal films. A controllable and effective PVD film can be formed.
以上の説明において、バリアメタル材料として、TaNを用いているが、これに限るものではなく、タンタル(Ta)、チタン(Ti)、タングステン(W)とその窒化膜、またはその合金あっても構わない。例えば、TaNの他、炭化窒化タンタル(TaCN)、窒化タングステン(WN)、炭化窒化タングステン(WCN)、窒化チタン(TiN)等の高融点金属の窒化膜或いは窒化炭素膜、或いは、タンタル(Ta)、チタン(Ti)、タングステン(W)単体であっても構わない。或いは、タンタルシリサイド(TaSi)、チタンシリサイド(TiSi)、或いは、WSiN等であっても構わない。或いはジルコニウム(Zr)系のバリアメタル膜であっても構わない。或いは、これらの複数の材料による積層膜であっても構わない。例えば、Ti系のバリアメタル膜のメタル原料として、テトラジエチルチタン(Ti[N(C2H5)2]4)やテトラジメチルチタン(Ti[N(CH3)2]4)や塩化チタン(TiCl4)を用いても構わない。W系のバリアメタル膜のメタル原料として、WF6を用いても構わない。 In the above description, TaN is used as the barrier metal material. However, the present invention is not limited to this, and tantalum (Ta), titanium (Ti), tungsten (W) and nitride films thereof, or alloys thereof may be used. Absent. For example, in addition to TaN, a tantalum carbonitride (TaCN), tungsten nitride (WN), tungsten carbonitride (WCN), titanium nitride (TiN) or other refractory metal nitride film or carbon nitride film, or tantalum (Ta) Titanium (Ti) or tungsten (W) alone may be used. Alternatively, tantalum silicide (TaSi), titanium silicide (TiSi), WSiN, or the like may be used. Alternatively, a zirconium (Zr) -based barrier metal film may be used. Alternatively, a laminated film made of a plurality of these materials may be used. For example, as a metal raw material for a Ti-based barrier metal film, tetradiethyl titanium (Ti [N (C 2 H 5 ) 2 ] 4 ), tetradimethyl titanium (Ti [N (CH 3 ) 2 ] 4 ), titanium chloride ( TiCl 4 ) may be used. As a metal raw material for the W-based barrier metal film, it may be used WF 6.
図14は、図1のフローチャートに対応して実施される工程を表す工程断面図である。
図14では、図1の第1のシード膜形成工程(S122)からめっき工程(S124)までを示している。それ以降の工程は後述する。
図14(a)において、シード膜形成工程として、スパッタ等の物理気相成長(PVD)法により、次の工程である電解めっき工程のカソード極となるCu薄膜をシード膜252としてバリアメタル膜243が形成された開口部150内壁及び基体表面に堆積(形成)させる。ここでは、シード膜252を膜厚75nm堆積させた。
FIG. 14 is a process sectional view showing a process performed corresponding to the flowchart of FIG.
FIG. 14 shows from the first seed film formation step (S122) to the plating step (S124) in FIG. Subsequent steps will be described later.
In FIG. 14A, as a seed film formation process, a barrier metal film 243 is formed by using a Cu thin film serving as a cathode electrode in the next electroplating process as a seed film 252 by a physical vapor deposition (PVD) method such as sputtering. Are deposited (formed) on the inner wall of the opening 150 and the surface of the substrate. Here, the seed film 252 was deposited to a thickness of 75 nm.
ここで、第1のバリアメタル膜形成工程からシード膜形成工程までは、連続、不連続処理(大気暴露)のいずれであっても構わない。 Here, the process from the first barrier metal film forming process to the seed film forming process may be either continuous or discontinuous processing (atmospheric exposure).
図14(b)において、めっき工程として、シード膜252をカソード極として、電解めっき等の電気化学成長によりCu膜262を開口部150及び基体表面に堆積させる。ここでは、膜厚300nmのCu膜262を堆積させ、堆積させた後にアニール処理を400℃の温度で30分間行った。 In FIG. 14B, as a plating process, a Cu film 262 is deposited on the opening 150 and the substrate surface by electrochemical growth such as electrolytic plating using the seed film 252 as a cathode electrode. Here, a Cu film 262 having a thickness of 300 nm was deposited, and after the deposition, annealing treatment was performed at a temperature of 400 ° C. for 30 minutes.
図15は、図1のフローチャートに対応して実施される工程を表す工程断面図である。
図15では、図1の平坦化工程(S1262)を示している。
平坦化工程として、CMP法によってSiO2膜284の表面に堆積された導電部としてのヴィア層となるCu膜262、シード膜252、バリアメタル膜241、バリアメタル膜242及びバリアメタル膜243を研磨除去することにより、図15に示したような埋め込み構造を形成する。CMP装置は、例えば、オービタル方式で、ノベラスシステムズ社のMomentum300を用いればよい。例えば、CMP荷重は1.03×104Pa(1.5psi)、オービタル回転数は600min−1(600rpm)、ヘッド回転数は24min−1(24rpm)、スラリー供給速度は0.3L/min(300cc/分)、研磨パッドは発泡ポリウレタン製の単層パッド(ロデール社のIC1000)、CMPスラリーはCu用に砥粒フリースラリー(日立化成工業製のHS−C430−TU)、バリアメタル用にコロイダルシリカ砥粒スラリー(日立化成工業製のHS−T605−8)を用いればよい。上述の条件でCMPを行い、溝外部のCu膜とバリアメタル膜を除去してダマシンCu配線を形成する。
FIG. 15 is a process sectional view showing a process performed corresponding to the flowchart of FIG.
FIG. 15 shows the planarization step (S1262) of FIG.
As a planarization step, the Cu film 262, the seed film 252, the barrier metal film 241, the barrier metal film 242, and the barrier metal film 243 that serve as a via layer as a conductive portion deposited on the surface of the SiO 2 film 284 by the CMP method are polished. By removing, a buried structure as shown in FIG. 15 is formed. The CMP apparatus may be, for example, an orbital system and a Momentum 300 manufactured by Novellus Systems. For example, the CMP load is 1.03 × 10 4 Pa (1.5 psi), the orbital rotational speed is 600 min −1 (600 rpm), the head rotational speed is 24 min −1 (24 rpm), and the slurry supply speed is 0.3 L / min ( 300 cc / min), polishing pad is a single layer pad made of polyurethane foam (IC1000 from Rodale), CMP slurry is abrasive-free slurry for Cu (HS-C430-TU made by Hitachi Chemical), colloidal for barrier metal A silica abrasive slurry (HS-T605-8 manufactured by Hitachi Chemical Co., Ltd.) may be used. CMP is performed under the above-described conditions, and the Cu film and the barrier metal film outside the trench are removed to form a damascene Cu wiring.
図16乃至図18は、本実施の形態において形成されるSiC膜230の効果を説明するための模式図である。すなわち、図16は、比較例としてSiC膜230が設けられていない場合の多孔質絶縁膜(MSQ)とバリアメタル膜と配線層となるシード層及びCuとの接合界面を表す断面図である。同図に例示した如く、層間絶縁膜となる多孔質絶縁膜には、その誘電率を効果的に下げるために、空孔Vが形成されている。 16 to 18 are schematic diagrams for explaining the effect of the SiC film 230 formed in the present embodiment. That is, FIG. 16 is a cross-sectional view showing a junction interface between a porous insulating film (MSQ), a barrier metal film, a seed layer serving as a wiring layer, and Cu when a SiC film 230 is not provided as a comparative example. As illustrated in the figure, holes V are formed in the porous insulating film serving as an interlayer insulating film in order to effectively lower the dielectric constant.
しかし、このように多孔質の層間絶縁膜とバリアメタル層とが直接的に接触していると、図17に表したように、バリアメタルが空孔を介して層間絶縁膜の中に拡散する。その結果として、バリアメタル層の膜厚が薄くなり、さらに連続的な薄膜状態を維持できなくなる場合もある。すると、配線層(Cu)のメタルも層間絶縁膜に拡散し、さらには半導体基板に拡散することよりトランジスタなどの信頼性が低下する。また、バリアメタルやCuなどの金属が入り込むことによって、層間絶縁膜の絶縁耐圧等の絶縁耐性も低下し、隣接する配線間での電流リーク等が生じ、配線による信号伝搬の信頼性が低下する。 However, when the porous interlayer insulating film and the barrier metal layer are in direct contact as described above, as shown in FIG. 17, the barrier metal diffuses into the interlayer insulating film through the holes. . As a result, the thickness of the barrier metal layer may be reduced, and a continuous thin film state may not be maintained. Then, the metal of the wiring layer (Cu) also diffuses into the interlayer insulating film, and further diffuses into the semiconductor substrate, thereby reducing the reliability of the transistor and the like. In addition, when a metal such as a barrier metal or Cu enters, insulation resistance such as dielectric strength of the interlayer insulating film is reduced, current leakage occurs between adjacent wirings, and reliability of signal propagation by the wiring is reduced. .
これに対して、本実施の形態によれば、図18に示すように、層間絶縁膜の表面にSiC膜230を設けることにより、まずはバリアメタルの層間絶縁膜への拡散を防止することができる。バリアメタルの層間絶縁膜への拡散を防止することができることにより、バリアメタル層の膜厚が薄くならず、ひいては配線材料の層間絶縁膜への拡散を防止することができる。 On the other hand, according to the present embodiment, as shown in FIG. 18, by providing SiC film 230 on the surface of the interlayer insulating film, first, diffusion of the barrier metal to the interlayer insulating film can be prevented. . Since the barrier metal can be prevented from diffusing into the interlayer insulating film, the thickness of the barrier metal layer is not reduced, and hence the diffusion of the wiring material into the interlayer insulating film can be prevented.
図19は、TaNとCuの密着性を説明するための図である。
図19(b)では、ALD法により成膜されたTaN上にCuを成膜した様子を示している。図19(b)では、ALD法により成膜されたTaNとCuとの濡れ性が悪くCuが密着していない様子がわかる。これに対し、図19(a)では、PVD法により成膜されたTaN上にCuを成膜した様子を示している。ここでは、撮影する際のピントを合わせるために、あえて異物(パーティクル)が付着した箇所を撮影しているが、その他の表面は平らになっていることがわかる。よって、バリアメタル膜として、ALD膜をPVD膜で挟むことにより、下層配線側のCuとヴィア側のCuとのその間のバリアメタル膜との密着性を向上させることができる。
FIG. 19 is a diagram for explaining the adhesion between TaN and Cu.
FIG. 19B shows a state in which Cu is formed on TaN formed by the ALD method. In FIG. 19B, it can be seen that the wettability between TaN and Cu deposited by the ALD method is poor and Cu is not in close contact. On the other hand, FIG. 19A shows a state in which Cu is formed on TaN formed by the PVD method. Here, in order to focus at the time of photographing, a part where a foreign substance (particle) is attached is photographed, but it can be seen that other surfaces are flat. Therefore, by sandwiching the ALD film between the PVD films as the barrier metal film, adhesion between the lower wiring layer Cu and the via side Cu between the barrier metal film can be improved.
図20は、本実施の形態におけるヴィア抵抗と累積確立との関係を示す図である。
図20からバリアメタル膜の構成の違いによる孔パターン(ヴィア)と上下溝パターン(上層配線或いは下層配線)との間での接続抵抗と接続歩留を比較することができる。従来のPVD法を用いた高融点金属膜を成膜した場合、ヴィアの底部(トレンチ底部)の成膜膜厚が厚いため、接続抵抗値が高い(大きい)。一方、CVD法を用いた場合には、トレンチ底部の高融点金属膜の膜厚を薄膜化することができるため、接続抵抗値は低い(小さい)が、Cuとの濡れ性が劣るため、接続歩留が劣化する。本実施の形態(図20における「development」)におけるPVD/CVD法を用いた3層の積層高融点金属膜構造を用いた場合、接続抵抗の低減と接続歩留の確保が可能となる。
FIG. 20 is a diagram showing the relationship between via resistance and cumulative establishment in the present embodiment.
From FIG. 20, it is possible to compare the connection resistance and the connection yield between the hole pattern (via) and the upper and lower groove pattern (upper layer wiring or lower layer wiring) due to the difference in the configuration of the barrier metal film. When a refractory metal film using a conventional PVD method is formed, the connection resistance value is high (large) because the film thickness of the via bottom (trench bottom) is thick. On the other hand, when the CVD method is used, since the film thickness of the refractory metal film at the bottom of the trench can be reduced, the connection resistance value is low (small), but the wettability with Cu is inferior. Yield deteriorates. When the three-layer laminated refractory metal film structure using the PVD / CVD method in this embodiment (“development” in FIG. 20) is used, connection resistance can be reduced and connection yield can be secured.
実施の形態2.
実施の形態2では、実施の形態1に引き続き、ヴィア上に上層配線を形成する場合について説明する。
図21は、実施の形態2における半導体装置の製造方法の要部を表すフローチャートである。
図21において、本実施の形態では、ヴィア上に上層配線を形成する場合に上層配線用の層間絶縁膜形成工程として、SiC膜を形成するSiC膜形成工程(S502)、多孔質の絶縁性材料を用いたp−lowk膜を形成するp−lowk膜形成工程(S504)、p−lowk膜表面をプラズマ処理するヘリウム(He)プラズマ処理工程(S506)、SiO2膜を形成するSiO2膜形成工程(S508)と、開口部を形成する開口部形成工程(S510)と、拡散防止膜形成工程としてのポアシーリング工程(S512)と、エッチバック工程(S514)と、バリアメタル膜形成工程として、PVD法による第1のバリアメタル膜形成工程(S516)、CVD法による第2のバリアメタル膜形成工程(S518)、PVD法による第3のバリアメタル膜形成工程(S520)と、上層配線形成工程となる導電性材料を堆積させる導電性材料堆積工程として、シード膜形成工程(S522)、めっき工程(S524)と、平坦化工程(S526)という一連の工程を実施する。
Embodiment 2. FIG.
In the second embodiment, a case where upper layer wiring is formed on a via will be described following the first embodiment.
FIG. 21 is a flowchart showing a main part of the method for manufacturing a semiconductor device in the second embodiment.
In FIG. 21, in this embodiment, when forming an upper layer wiring on the via, as an interlayer insulating film forming step for the upper layer wiring, an SiC film forming step (S502) for forming an SiC film, a porous insulating material p-low k film forming step of forming a p-low k film using (S504), helium plasma processing a p-low k film surface (He) plasma treatment step (S506), the SiO 2 film formation of forming an SiO 2 film As a step (S508), an opening forming step (S510) for forming an opening, a pore sealing step (S512) as a diffusion preventing film forming step, an etch back step (S514), and a barrier metal film forming step, First barrier metal film forming step by PVD method (S516), second barrier metal film forming step by CVD method (S518), PVD method A third barrier metal film forming step (S520) and a conductive material depositing step for depositing a conductive material to be an upper layer wiring forming step include a seed film forming step (S522), a plating step (S524), and planarization. A series of steps of step (S526) is performed.
図22は、図21のフローチャートに対応して実施される工程を表す工程断面図である。
図22では、図21のSiC膜形成工程(S502)からp−lowk膜形成工程(S504)までを示している。それ以降の工程は後述する。
FIG. 22 is a process sectional view showing a process performed corresponding to the flowchart of FIG.
FIG. 22 shows from the SiC film formation step (S502) to the p-lowk film formation step (S504) in FIG. Subsequent steps will be described later.
図22(a)において、SiC膜形成工程として、ヴィア層が形成された基体上に、CVD法によって、SiCを用いた膜厚50nmの下地炭化シリコン(SiC)膜を堆積し、SiC膜286を形成する。その他は、図5(a)において説明した内容と同様で構わないため省略する。 In FIG. 22A, as a SiC film forming step, a 50 nm-thick underlying silicon carbide (SiC) film using SiC is deposited by CVD on a substrate on which a via layer is formed, and an SiC film 286 is formed. Form. Others may be the same as the contents described in FIG.
図22(b)において、ポーラスlow−k(p−lowk)膜形成工程として、基体200の上に形成された前記SiC絶縁膜形成工程により形成されたSiC膜286の上に多孔質の絶縁性材料を用いたp−lowk膜285を250nmの厚さで形成する。p−lowk膜285を形成することで、比誘電率kが3.5よりも低い層間絶縁膜を得ることができる。その他は、図5(b)において説明した内容と同様で構わないため省略する。 In FIG. 22B, as a porous low-k (p-lowk) film forming step, a porous insulating property is formed on the SiC film 286 formed by the SiC insulating film forming step formed on the substrate 200. A p-lowk film 285 using a material is formed with a thickness of 250 nm. By forming the p-lowk film 285, an interlayer insulating film having a relative dielectric constant k lower than 3.5 can be obtained. Others may be the same as those described with reference to FIG.
そして、図5(b)において説明した内容と同様、Heプラズマ処理工程として、このp−lowk膜285表面をヘリウム(He)プラズマ照射によって表面改質する。Heプラズマ照射によって表面が改質されることで、p−lowk膜285とp−lowk膜285上に形成する後述するキャップ膜としてのCVD−SiO2膜290との接着性を改善することができる。 5B, the surface of the p-lowk film 285 is modified by helium (He) plasma irradiation as a He plasma treatment step. By modifying the surface by He plasma irradiation, the adhesion between the p-lowk film 285 and a CVD-SiO 2 film 290 as a cap film to be described later formed on the p-lowk film 285 can be improved. .
図23は、図21のフローチャートに対応して実施される工程を表す工程断面図である。
図23では、図21のSiO2膜形成工程(S508)から開口部形成工程(S510)までを示している。それ以降の工程は後述する。
FIG. 23 is a process sectional view showing a process performed corresponding to the flowchart of FIG.
FIG. 23 shows from the SiO 2 film formation step (S508) to the opening formation step (S510) in FIG. Subsequent steps will be described later.
図23(a)において、SiO2膜形成工程として、前記Heプラズマ処理を行った後、キャップ膜として、CVD法によってp−lowk膜285上にSiO2を膜厚50nm堆積することで、SiO2膜290を形成する。SiO2膜290を形成することで、直接リソグラフィを行うことができないp−lowk膜285を保護し、p−lowk膜285にパターンを形成することができる。その他は、図5(c)において説明した内容と同様で構わないため省略する。 In FIG. 23 (a), the as SiO 2 film forming step, said after the He plasma treatment, as a cap film, the SiO 2 by a thickness of 50nm is deposited on the p-low k film 285 by the CVD method, SiO 2 A film 290 is formed. By forming the SiO 2 film 290, the p-lowk film 285 that cannot be directly subjected to lithography can be protected, and a pattern can be formed in the p-lowk film 285. Others may be the same as the contents described in FIG.
以上の説明において、層間絶縁膜は、比誘電率が3.5以下のlow−k膜でなくても構わないが、low−k膜、特に、比誘電率kが2.5以下、空孔率が30%以上の多孔質のp−lowk膜を含む場合に特に有効である点は上述した通りである。 In the above description, the interlayer insulating film may not be a low-k film having a relative dielectric constant of 3.5 or less, but is a low-k film, particularly a relative dielectric constant k of 2.5 or less, As described above, it is particularly effective when a porous p-low film having a rate of 30% or more is included.
図23(b)において、開口部形成工程として、リソグラフィ工程とドライエッチング工程でダマシン配線を作製するための配線溝構造である開口部154をSiO2膜290とp−lowk膜285内に形成する。図示していないレジスト塗布工程、露光工程等のリソグラフィ工程を経てSiO2膜290の上にレジスト膜が形成された基体に対し、露出したSiO2膜290とその下層に位置するp−lowk膜285を、下地SiC膜286をエッチングストッパとして異方性エッチング法により除去して開口部154を形成すればよい。その他は、図6(a)において説明した内容と同様で構わないため省略する。 In FIG. 23B, as an opening forming process, an opening 154 that is a wiring groove structure for producing a damascene wiring is formed in the SiO 2 film 290 and the p-lowk film 285 by a lithography process and a dry etching process. . An exposed SiO 2 film 290 and a p-lowk film 285 positioned below the exposed SiO 2 film 290 with respect to a substrate on which a resist film is formed on the SiO 2 film 290 through a lithography process such as a resist coating process and an exposure process (not shown). May be removed by anisotropic etching using the underlying SiC film 286 as an etching stopper to form the opening 154. Others may be the same as the contents described in FIG.
図24は、図21のフローチャートに対応して実施される工程を表す工程断面図である。
図24では、図21のポアシーリング工程(S512)からエッチバック工程(S514)までを示している。それ以降の工程は後述する。
FIG. 24 is a process sectional view showing a process performed corresponding to the flowchart of FIG.
FIG. 24 shows the process from the pore sealing process (S512) to the etch-back process (S514) in FIG. Subsequent steps will be described later.
図24(a)において、拡散防止膜形成工程の一例であるポアシーリング工程として、前記開口部形成工程により形成された開口部150及びSiO2膜290表面に、CVD法によって、SiCを用いた開口部壁面での膜厚が5nmとなるまでSiC膜を堆積し、SiC膜232を形成する。その他は、図6(b)において説明した内容と同様で構わないため省略する。 In FIG. 24A, as a pore sealing process which is an example of the diffusion prevention film forming process, an opening using SiC is formed by CVD on the surface of the opening 150 and the SiO 2 film 290 formed by the opening forming process. An SiC film is deposited until the film thickness on the partial wall surface reaches 5 nm, and an SiC film 232 is formed. Others may be the same as those described in FIG.
図24(b)において、エッチバック工程として、開口部150の底面に形成されて残っていたSiC膜232とSiO2膜290上のSiC膜232とをエッチバックにより除去する。そして、SiC膜232をエッチバックにより除去する際、同時に、開口部154の底面に形成されて残っていたSiC膜286をエッチバックにより除去する。開口部154の底面に形成されて残っていたSiC膜286を除去することで、開口部154の底面には、SiC膜286及びSiC膜232が堆積しないため、その後形成される導電性材料とヴィア層の導電性材料との導電性を低下させることを防ぐことができる。 In FIG. 24B, as an etch-back process, the SiC film 232 remaining on the bottom surface of the opening 150 and the SiC film 232 on the SiO 2 film 290 are removed by etch-back. Then, when the SiC film 232 is removed by etch back, the remaining SiC film 286 formed on the bottom surface of the opening 154 is removed by etch back. By removing the remaining SiC film 286 formed on the bottom surface of the opening 154, the SiC film 286 and the SiC film 232 are not deposited on the bottom surface of the opening 154. It is possible to prevent the conductivity of the layer with the conductive material from being lowered.
図25は、図21のフローチャートに対応して実施される工程を表す工程断面図である。
図25では、図21の第1のバリアメタル膜形成工程(S516)から第2のバリアメタル膜形成工程(S518)までを示している。それ以降の工程は後述する。
FIG. 25 is a process sectional view showing a process performed corresponding to the flowchart of FIG.
FIG. 25 shows from the first barrier metal film formation step (S516) to the second barrier metal film formation step (S518) in FIG. Subsequent steps will be described later.
図25(a)において、第1のバリアメタル膜形成工程として、側壁にSiC膜232が形成された開口部154及びSiO2膜290表面にバリアメタル材料を用いたバリアメタル膜246を形成する。PVD法を用いてTaNを基体上において平均膜厚が3nm以下になるように堆積し、バリアメタル膜246を形成する。特に、2.5nm程度(例えば、2〜3nm)にするとなお良い。基体上に3nm以下のバリアメタル膜246を堆積させることで、ヴィアとなるCu膜262上(すなわち、開口部154の底部)の膜厚(ボトム膜厚)は、トップ膜厚の60〜70%となり、すなわち、開口部154の底部には1.8〜2.1nmの膜厚のバリアメタル膜246が形成される。よって、バリアメタル膜246の開口部154の底部に堆積させる膜厚(ボトム膜厚)は、2.1nm以下が望ましい。その他は、図8(a)において説明した内容と同様で構わないため省略する。 In FIG. 25A, as a first barrier metal film forming step, an opening 154 in which an SiC film 232 is formed on the side wall and a barrier metal film 246 using a barrier metal material on the surface of the SiO 2 film 290 are formed. Using a PVD method, TaN is deposited on the substrate so as to have an average film thickness of 3 nm or less to form a barrier metal film 246. In particular, about 2.5 nm (for example, 2 to 3 nm) is even better. By depositing a barrier metal film 246 of 3 nm or less on the substrate, the film thickness (bottom film thickness) on the Cu film 262 serving as a via (that is, the bottom of the opening 154) is 60 to 70% of the top film thickness. That is, a barrier metal film 246 having a thickness of 1.8 to 2.1 nm is formed at the bottom of the opening 154. Therefore, the film thickness (bottom film thickness) deposited on the bottom of the opening 154 of the barrier metal film 246 is desirably 2.1 nm or less. Others may be the same as those described with reference to FIG.
図25(b)において、第2のバリアメタル膜形成工程として、第1のバリアメタル膜246上にバリアメタル材料を用いたバリアメタル膜247を形成する。ALD法、あるいは、ALCVD法を含むCVD法を用いて装置内でTaNを基体上において平均膜厚が2nmより小さくなるように堆積し、バリアメタル膜247を形成する。特に、1nm(1nm以上2nm未満の値)にするとなお良い。その他は、図8(b)において説明した内容と同様で構わないため省略する。 In FIG. 25B, as a second barrier metal film forming step, a barrier metal film 247 using a barrier metal material is formed on the first barrier metal film 246. A barrier metal film 247 is formed by depositing TaN on the substrate so that the average film thickness is smaller than 2 nm in the apparatus by using the ALD method or the CVD method including the ALCVD method. In particular, 1 nm (a value of 1 nm or more and less than 2 nm) is more preferable. Others may be the same as those described in FIG.
図26は、図21のフローチャートに対応して実施される工程を表す工程断面図である。
図26では、図21の第3のバリアメタル膜形成工程(S520)からシード膜形成工程(S522)までを示している。それ以降の工程は後述する。
FIG. 26 is a process sectional view showing a process performed corresponding to the flowchart in FIG. 21.
FIG. 26 shows the third barrier metal film formation step (S520) to the seed film formation step (S522) in FIG. Subsequent steps will be described later.
図26(a)において、第3のバリアメタル膜形成工程として、第2のバリアメタル膜247上にバリアメタル材料を用いたバリアメタル膜248を形成する。PVD法を用いて装置内でTaNを基体上において平均膜厚が3nm以下になるように堆積し、バリアメタル膜248を形成する。特に、2.5nm程度(例えば、2〜3nm)にするとなお良い。基体上に3nm以下のバリアメタル膜248を堆積させることで、開口部154の底部の膜厚(ボトム膜厚)は、トップ膜厚の60〜70%となり、すなわち、開口部154の底部には1.8〜2.1nmの膜厚のバリアメタル膜248が形成される。よって、バリアメタル膜248の開口部154の底部に堆積させる膜厚(ボトム膜厚)は、2.1nm以下が望ましい。その他は、図8(c)において説明した内容と同様で構わないため省略する。 In FIG. 26A, as a third barrier metal film forming step, a barrier metal film 248 using a barrier metal material is formed on the second barrier metal film 247. A barrier metal film 248 is formed by depositing TaN on the substrate so as to have an average film thickness of 3 nm or less using the PVD method. In particular, about 2.5 nm (for example, 2 to 3 nm) is even better. By depositing a barrier metal film 248 of 3 nm or less on the substrate, the bottom film thickness (bottom film thickness) of the opening 154 becomes 60 to 70% of the top film thickness, that is, at the bottom of the opening 154 A barrier metal film 248 having a thickness of 1.8 to 2.1 nm is formed. Therefore, the film thickness (bottom film thickness) deposited on the bottom of the opening 154 of the barrier metal film 248 is desirably 2.1 nm or less. Others may be the same as those described in FIG.
以上のように、第1と第2と第3のバリアメタル膜のトップ膜厚の合計は、8nmより小さいことが望ましい。さらに、ALD膜では、形成位置における膜厚変化が小さいことから、第2のバリアメタル膜のボトム膜厚も2nmより小さいことが望ましく、第1と第2と第3のバリアメタル膜のボトム膜厚の合計は、6.2nmより小さいことが望ましい。 As described above, the sum of the top film thicknesses of the first, second, and third barrier metal films is desirably smaller than 8 nm. Further, in the ALD film, since the change in film thickness at the formation position is small, it is desirable that the bottom film thickness of the second barrier metal film is also smaller than 2 nm, and the bottom film of the first, second, and third barrier metal films. The total thickness is preferably less than 6.2 nm.
また、前記第2のバリアメタル膜247の膜厚が、前記第1と第3のバリアメタル膜のいずれの膜厚よりも薄くなるように形成することより、薄膜化を図りながらPVD法を用いた制御可能な実効性のあるPVD膜を形成することができる。 Further, the PVD method is used while reducing the film thickness by forming the second barrier metal film 247 so that the film thickness is thinner than any of the first and third barrier metal films. A controllable and effective PVD film can be formed.
以上の説明において、バリアメタル材料として、TaNを用いているが、これに限るものではない点は、実施の形態1と同様であるため説明を省略する。 In the above description, TaN is used as the barrier metal material, but since it is not limited to this, the description is omitted because it is the same as in the first embodiment.
図26(b)において、シード膜形成工程として、スパッタ等の物理気相成長(PVD)法により、次の工程である電解めっき工程のカソード極となるCu薄膜をシード膜254としてバリアメタル膜248が形成された開口部154内壁及び基体表面に堆積(形成)させる。その他は、図14(a)において説明した内容と同様で構わないため省略する。 In FIG. 26B, as a seed film formation process, a barrier metal film 248 is formed by using as a seed film 254 a Cu thin film serving as a cathode electrode in a subsequent electroplating process by physical vapor deposition (PVD) such as sputtering. Are deposited (formed) on the inner wall of the opening 154 and the surface of the substrate. Others may be the same as those described in FIG.
ここで、第1のバリアメタル膜形成工程からシード膜形成工程までは、連続、不連続処理(大気暴露)のいずれであっても構わない点も上述した通りである。 Here, as described above, the first barrier metal film forming step to the seed film forming step may be either continuous or discontinuous processing (atmospheric exposure).
図27は、図21のフローチャートに対応して実施される工程を表す工程断面図である。
図27では、図21のめっき工程(S524)から平坦化工程(S526)までを示している。
FIG. 27 is a process sectional view showing a process performed corresponding to the flowchart of FIG. 21.
FIG. 27 shows from the plating step (S524) to the planarization step (S526) in FIG.
図27(a)において、めっき工程として、シード膜254をカソード極として、電解めっき等の電気化学成長によりCu膜264を開口部154及び基体表面に堆積させ、堆積させた後にアニール処理を行なう。その他は、図14(b)において説明した内容と同様で構わないため省略する。 In FIG. 27A, as a plating process, a Cu film 264 is deposited on the opening 154 and the substrate surface by electrochemical growth such as electrolytic plating using the seed film 254 as a cathode electrode, and after the deposition, an annealing process is performed. Others may be the same as the contents described in FIG.
図27(b)において、平坦化工程として、CMP法によってSiO2膜290の表面に堆積された導電部としてのヴィア層となるCu膜264、シード膜254、バリアメタル膜246、バリアメタル膜247及びバリアメタル膜248を研磨除去することにより、図27(b)に示したような埋め込み構造を形成する。その他は、図15において説明した内容と同様で構わないため省略する。 In FIG. 27B, as a planarization step, a Cu film 264, a seed film 254, a barrier metal film 246, and a barrier metal film 247 serving as a via layer as a conductive portion deposited on the surface of the SiO 2 film 290 by the CMP method. Then, the barrier metal film 248 is removed by polishing to form a buried structure as shown in FIG. Others may be the same as the contents described in FIG.
以上にように、ヴィア上に上層配線を形成する場合にも、バリアメタル膜形成において、CVD膜をPVD膜で挟み込むサンドウィッチ構造とし、膜厚を規定することで、従来よりも薄膜化することができ、かつ、長い時間が経過した後でも低抵抗のまま配線間の歩留まりを維持させることができる。 As described above, even when the upper wiring is formed on the via, it is possible to reduce the thickness of the barrier metal film by forming a sandwich structure in which the CVD film is sandwiched between PVD films and by defining the film thickness. In addition, the yield between wirings can be maintained with a low resistance even after a long time has elapsed.
実施の形態3.
実施の形態1では、下層配線(溝パターン)上にヴィア(孔パターン)を形成し、実施の形態2では、ヴィア(孔パターン)上に上層配線(溝パターン)を形成するといった、共にシングルダマシン法を用いているが、ヴィア(孔パターン)と上層配線(溝パターン)とを一括して成膜するデュアルダマシン法を用いても有効である。
Embodiment 3 FIG.
In the first embodiment, a via (hole pattern) is formed on the lower layer wiring (groove pattern), and in the second embodiment, an upper layer wiring (groove pattern) is formed on the via (hole pattern). Although a method is used, it is also effective to use a dual damascene method in which vias (hole patterns) and upper layer wirings (groove patterns) are collectively formed.
以上の説明において、上記各実施の形態における配線層の材料として、Cu以外に、Cu−Sn合金、Cu−Ti合金、Cu−Al合金等の、半導体産業で用いられるCuを主成分とする材料を用いて同様の効果が得られる。 In the above description, as a material for the wiring layer in each of the above embodiments, in addition to Cu, a material mainly composed of Cu used in the semiconductor industry, such as a Cu—Sn alloy, a Cu—Ti alloy, and a Cu—Al alloy. The same effect can be obtained using.
また、本発明は、特に、今後、微細化が進んだ場合に特に有効となる。例えば、65〜45ノードの世代に特に有効である。例えば、配線ピッチが200nm以下の世代に特に有効である。例えば、ヴィア径が100nm以下の世代に特に有効である。例えば、低誘電率膜の比誘電率kが2.5以下の世代に特に有効である。例えば、低誘電率膜のポーラス径が2nm以上の世代に特に有効である。例えば、低誘電率膜の空孔率が30%以上の世代に特に有効である。例えば、最小配線幅100nmに対し、第2配線(上層配線)とその下層に位置するヴィアとを合わせたアスペクト比が1.5以上の世代に特に有効である。 The present invention is particularly effective when the miniaturization is advanced in the future. For example, it is particularly effective for the generation of 65 to 45 nodes. For example, it is particularly effective for generations with a wiring pitch of 200 nm or less. For example, it is particularly effective for generations with a via diameter of 100 nm or less. For example, this is particularly effective for generations where the relative dielectric constant k of the low dielectric constant film is 2.5 or less. For example, this is particularly effective for generations where the low dielectric constant film has a porous diameter of 2 nm or more. For example, it is particularly effective for generations where the porosity of the low dielectric constant film is 30% or more. For example, for a minimum wiring width of 100 nm, this is particularly effective for generations in which the aspect ratio of the second wiring (upper layer wiring) and the vias located thereunder is 1.5 or more.
以上、具体例を参照しつつ各実施の形態について説明した。しかし、本発明は、これらの具体例に限定されるものではない。 The embodiments have been described above with reference to specific examples. However, the present invention is not limited to these specific examples.
例えば、層間絶縁膜の膜厚や、開口部のサイズ、形状、数などについても、半導体集積回路や各種の半導体素子において必要とされるものを適宜選択して用いることができる。 For example, the film thickness of the interlayer insulating film and the size, shape, number, and the like of the opening can be appropriately selected and used as required in the semiconductor integrated circuit and various semiconductor elements.
その他、本発明の要素を具備し、当業者が適宜設計変更しうる全ての半導体装置の製造方法は、本発明の範囲に包含される。 In addition, any semiconductor device manufacturing method that includes the elements of the present invention and whose design can be changed as appropriate by those skilled in the art is included in the scope of the present invention.
また、説明の簡便化のために、半導体産業で通常用いられる手法、例えば、フォトリソグラフィプロセス、処理前後のクリーニング等は省略しているが、それらの手法が含まれることは言うまでもない。 In addition, for the sake of simplicity of explanation, techniques usually used in the semiconductor industry, such as a photolithography process, cleaning before and after processing, are omitted, but it goes without saying that these techniques are included.
10,200 基体
20 TaR
22 TaN
150,154 開口部
210 デバイス層
212,230,232,275,286 SiC膜
220,280,285 p−lowk膜
221,281 絶縁膜
222,284,290 SiO2膜
240,241,242,243,246,247,248 バリアメタル膜
250,252,254 シード膜
260,262,264 Cu膜
300,510,520,530,600,700 チャンバ
310 下部電極
320 上部電極
330,630,730 真空ポンプ
350,500 装置
540 搬送室
550 カセット室
610 基板ホルダ
620 シャワーヘッド
650 容器
720 ターゲット
10,200 substrate 20 TaR
22 TaN
150, 154 Opening 210 Device layer 212, 230, 232, 275, 286 SiC film 220, 280, 285 p-lowk film 221, 281 Insulating film 222, 284, 290 SiO 2 film 240, 241, 242, 243, 246 , 247, 248 Barrier metal film 250, 252, 254 Seed film 260, 262, 264 Cu film 300, 510, 520, 530, 600, 700 Chamber 310 Lower electrode 320 Upper electrode 330, 630, 730 Vacuum pump 350, 500 Device 540 Transfer chamber 550 Cassette chamber 610 Substrate holder 620 Shower head 650 Container 720 Target
Claims (10)
前記絶縁膜に開口部を形成する開口部形成工程と、
物理気相成長(PVD)法を用いて、前記絶縁膜上と開口部内とに第1のバリアメタル膜を形成する第1のバリアメタル膜形成工程と、
前記第1のバリアメタル膜上に、化学気相成長(CVD)法を用いて第2のバリアメタル膜を形成する第2のバリアメタル膜形成工程と、
前記第2のバリアメタル膜上に、PVD法を用いて第3のバリアメタル膜を形成する第3のバリアメタル膜形成工程と、
前記第3のバリアメタル膜上に、導電性材料を堆積させる堆積工程と、
を備え、
前記絶縁膜上に形成された前記第1と第2と第3のバリアメタル膜の合計膜厚が、8nmより小さくなるように形成することを特徴とする半導体装置の製造方法。 An insulating film forming step of forming an insulating film on the substrate;
An opening forming step of forming an opening in the insulating film;
A first barrier metal film forming step of forming a first barrier metal film on the insulating film and in the opening using a physical vapor deposition (PVD) method;
A second barrier metal film forming step of forming a second barrier metal film on the first barrier metal film using a chemical vapor deposition (CVD) method;
A third barrier metal film forming step of forming a third barrier metal film on the second barrier metal film using a PVD method;
A deposition step of depositing a conductive material on the third barrier metal film;
With
A method of manufacturing a semiconductor device, wherein a total film thickness of the first, second and third barrier metal films formed on the insulating film is smaller than 8 nm.
前記第1の導電膜上に形成された前記導電性材料を用いた第2の導電膜と、
前記第2の導電膜の側面側に配置される、絶縁性材料を用いた絶縁膜と、
バリアメタル材料を用いて、前記第2の導電膜と前記絶縁膜との間と、前記第1と第2の導電膜の間とに形成された、化学気相成長(CVD)法を用いて形成されたCVD膜と物理気相成長(PVD)法を用いて前記CVD膜を挟むように形成された2つのPVD膜とを有するバリアメタル膜と、
を備え、
前記バリアメタル膜は、前記第1と第2の導電膜の間において、前記CVD膜と前記2つのPVD膜との合計膜厚が、6.2nmより小さく形成されることを特徴とする半導体装置。 A first conductive film using a conductive material;
A second conductive film using the conductive material formed on the first conductive film;
An insulating film using an insulating material, disposed on a side surface of the second conductive film;
Using a barrier metal material, a chemical vapor deposition (CVD) method formed between the second conductive film and the insulating film and between the first and second conductive films is used. A barrier metal film having a formed CVD film and two PVD films formed to sandwich the CVD film using a physical vapor deposition (PVD) method;
With
The barrier metal film is formed between the first conductive film and the second conductive film so that a total film thickness of the CVD film and the two PVD films is smaller than 6.2 nm. .
Priority Applications (1)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| JP2004235015A JP2006054326A (en) | 2004-08-12 | 2004-08-12 | Manufacturing method of semiconductor device and semiconductor device |
Applications Claiming Priority (1)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| JP2004235015A JP2006054326A (en) | 2004-08-12 | 2004-08-12 | Manufacturing method of semiconductor device and semiconductor device |
Publications (1)
| Publication Number | Publication Date |
|---|---|
| JP2006054326A true JP2006054326A (en) | 2006-02-23 |
Family
ID=36031603
Family Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| JP2004235015A Pending JP2006054326A (en) | 2004-08-12 | 2004-08-12 | Manufacturing method of semiconductor device and semiconductor device |
Country Status (1)
| Country | Link |
|---|---|
| JP (1) | JP2006054326A (en) |
Cited By (1)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US10134673B2 (en) | 2016-09-23 | 2018-11-20 | Toshiba Memory Corporation | Semiconductor device and manufacturing method thereof |
-
2004
- 2004-08-12 JP JP2004235015A patent/JP2006054326A/en active Pending
Cited By (1)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US10134673B2 (en) | 2016-09-23 | 2018-11-20 | Toshiba Memory Corporation | Semiconductor device and manufacturing method thereof |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| US7799693B2 (en) | Method for manufacturing a semiconductor device | |
| US7718524B2 (en) | Method of manufacturing semiconductor device | |
| TWI402887B (en) | Structures and methods for integration of ultralow-k dielectrics with improved reliability | |
| US7524755B2 (en) | Entire encapsulation of Cu interconnects using self-aligned CuSiN film | |
| US20080128907A1 (en) | Semiconductor structure with liner | |
| US7727883B2 (en) | Method of forming a diffusion barrier and adhesion layer for an interconnect structure | |
| US7799681B2 (en) | Method for forming a ruthenium metal cap layer | |
| US7199045B2 (en) | Metal-filled openings for submicron devices and methods of manufacture thereof | |
| US6569756B1 (en) | Method for manufacturing a semiconductor device | |
| US10128147B2 (en) | Interconnect structure | |
| US7223692B2 (en) | Multi-level semiconductor device with capping layer for improved adhesion | |
| TW202111870A (en) | Methods and apparatus for hybrid feature metallization | |
| US7176141B2 (en) | Plasma treatment to improve barrier layer performance over porous low-K insulating dielectrics | |
| WO2006046487A1 (en) | Semiconductor device and semiconductor device manufacturing method | |
| JP2005203569A (en) | Fabrication process of semiconductor device and semiconductor device | |
| JP2006024668A (en) | Manufacturing method of semiconductor device | |
| JP2004153274A (en) | Damascene interconnection utilizing barrier metal layer deposited with metal carbonyl | |
| JP2005203568A (en) | Fabrication process of semiconductor device, and semiconductor device | |
| JP2005340460A (en) | Process for forming semiconductor device | |
| JP4447433B2 (en) | Semiconductor device manufacturing method and semiconductor device | |
| JP2005340601A (en) | Process for fabricating semiconductor device and semiconductor device | |
| JP2001284355A (en) | Semiconductor device and its manufacturing method | |
| JP2006054326A (en) | Manufacturing method of semiconductor device and semiconductor device | |
| JP4643975B2 (en) | Manufacturing method of semiconductor device | |
| JP2006156519A (en) | Method of manufacturing semiconductor device |
Legal Events
| Date | Code | Title | Description |
|---|---|---|---|
| A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20070720 |
|
| A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20090731 |
|
| A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090804 |
|
| A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20100126 |