JP4364310B2 - パターン発生における近接効果のランタイム補正の方法および装置 - Google Patents
パターン発生における近接効果のランタイム補正の方法および装置 Download PDFInfo
- Publication number
- JP4364310B2 JP4364310B2 JP53205398A JP53205398A JP4364310B2 JP 4364310 B2 JP4364310 B2 JP 4364310B2 JP 53205398 A JP53205398 A JP 53205398A JP 53205398 A JP53205398 A JP 53205398A JP 4364310 B2 JP4364310 B2 JP 4364310B2
- Authority
- JP
- Japan
- Prior art keywords
- pattern
- calculating
- correction
- exposure
- pixels
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 238000012937 correction Methods 0.000 title claims description 126
- 230000000694 effects Effects 0.000 title claims description 89
- 238000000034 method Methods 0.000 title claims description 53
- 238000010438 heat treatment Methods 0.000 claims description 31
- 239000000758 substrate Substances 0.000 claims description 15
- 238000010894 electron beam technology Methods 0.000 claims description 13
- 230000008569 process Effects 0.000 claims description 13
- 238000001803 electron scattering Methods 0.000 claims description 8
- 230000000737 periodic effect Effects 0.000 claims description 3
- 230000008859 change Effects 0.000 claims description 2
- 238000000638 solvent extraction Methods 0.000 claims 1
- 238000004364 calculation method Methods 0.000 description 34
- 230000006870 function Effects 0.000 description 18
- 239000000872 buffer Substances 0.000 description 17
- 238000010586 diagram Methods 0.000 description 9
- 238000004422 calculation algorithm Methods 0.000 description 8
- 238000009792 diffusion process Methods 0.000 description 8
- 238000000609 electron-beam lithography Methods 0.000 description 6
- 230000003287 optical effect Effects 0.000 description 5
- 230000002093 peripheral effect Effects 0.000 description 5
- 238000005192 partition Methods 0.000 description 4
- 238000012545 processing Methods 0.000 description 4
- 238000005070 sampling Methods 0.000 description 4
- 230000001186 cumulative effect Effects 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 238000007781 pre-processing Methods 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- 238000010521 absorption reaction Methods 0.000 description 2
- 238000007792 addition Methods 0.000 description 2
- 238000004458 analytical method Methods 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 230000018109 developmental process Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 230000003993 interaction Effects 0.000 description 2
- 238000012805 post-processing Methods 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 230000035945 sensitivity Effects 0.000 description 2
- 230000001133 acceleration Effects 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 230000006399 behavior Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000003909 pattern recognition Methods 0.000 description 1
- 230000007261 regionalization Effects 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/30—Electron-beam or ion-beam tubes for localised treatment of objects
- H01J37/302—Controlling tubes by external information, e.g. programme control
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/30—Electron-beam or ion-beam tubes for localised treatment of objects
- H01J37/317—Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
- H01J37/3174—Particle-beam lithography, e.g. electron beam lithography
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y40/00—Manufacture or treatment of nanostructures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/30—Electron-beam or ion-beam tubes for localised treatment of objects
- H01J37/302—Controlling tubes by external information, e.g. programme control
- H01J37/3023—Programme control
- H01J37/3026—Patterning strategy
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/30—Electron or ion beam tubes for processing objects
- H01J2237/317—Processing objects on a microscale
- H01J2237/3175—Lithography
- H01J2237/31769—Proximity effect correction
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S430/00—Radiation imagery chemistry: process, composition, or product thereof
- Y10S430/143—Electron beam
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Nanotechnology (AREA)
- Analytical Chemistry (AREA)
- Physics & Mathematics (AREA)
- Crystallography & Structural Chemistry (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Mathematical Physics (AREA)
- Theoretical Computer Science (AREA)
- Electron Beam Exposure (AREA)
Description
発明の分野
本発明は、概括的にはパターンを基板に形成するためのシステムに使用するパターン発生装置とその方法に関し、詳細には発生したパターンをランタイム中に補正するラスタ走査描画ストラテジー利用型の、例えば、半導体工業に使用される種類の電子ビームパターン発生システムおよびその種システムの使用に関する。
従来技術の説明
画像パターン内の一点の露光に対してその点の隣接点の露光が及ぼす影響を近接効果と呼ぶ。例えば、電子光学露光における解像度の限界、レジストに固有の解像度の限界、レジスト層内における電子散乱、およびレジスト層支持基板からの電子後方散乱は、特定の点への露光量分布に不鮮明部分を生じさせる。すなわち、特定の点に伝達するように意図された露光量の一部が実際には隣接点に伝達される。
また、レジスト層の特定の点だけを露光すると、それらの点の加熱が隣接点に拡がり、その結果それら隣接点におけるレジストの感度が変わってしまう。
レジスト層を形成した基板の熱膨張も、基板の不均一な熱膨張により隣接点相互間で特徴点位置誤差を生じさせる局部加熱効果をもたらす。
これらの近接効果はすべて、特定の点における実際のまたは実効的な露光量誤差を生じさせる。散乱効果および熱膨張は、電子のレジストに作用する点の位置がずれるために実効的露光量誤差を生じさせる。レジストの加熱は、レジストの電子に対する感度が変動するために実効的露光量誤差を生じさせる。
散乱効果が隣接点への全露光量だけに左右される場合は、熱膨張効果もレジスト加熱効果も露光量伝達の速度と時間順序の影響を受ける。すなわち、多様なメカニズムにより、近接効果がリソグラフィ特徴形状の大きさや形状や位置などに望ましくない変動を生じさせる。
これらの誤差の補正は、より高い精密さと正確さとを必要とするますます複雑なパターンを伴うより繊細な幾何学的形状に向かう傾向によってさらに高まった電子ビームリソグラフィの重要な側面である。幾つかの部分的解決策がこれまでに試みられたり提案されたりしたが、前述の効果の全部について補正を行うものは一つもなく、露光の「ランタイム」中に補正を計算して実行するものは一つもない。
上記の部分的解決策の一つが1994年3月15日発行のChung他名義の米国特許第5,294,800号の主題であり、電子散乱効果の計算補正を対象としている。「集積回路チップ構成...は、各チップが同数の形状頂点の周りに含む区画に分割される(区分と呼ぶ)。...形状は前方散乱および後方散乱の両方について近接効果補正する。...このプロセスを最後の区画の処理の終了まで各区画について反復する」。この手法は電子散乱効果の補正だけを行っているほか、改良型計算方法は画像の後処理段階で用い露光ランタイム期間中は用いていない。
もう一つの部分的解決策が1991年9月24日発行のAshton他名義の米国特許第5,051,598号の主題になっている。上記Chung他の特許と同様に、このAshton他の特許も電子散乱効果の補正だけを対象としており、データの後処理の期間に補正を行う。このAshton他の特許は「高電圧や高密度パターンに適した電子ビームリソグラフィ用の近接効果補正方法であって後方散乱補正および前方散乱補正の両方に適用される」方法を提供する。
ジャーナル オブ アプライド フィジックス、50(6)、1979年6月号第4371,4378,4383頁所載のM.Parikhの論文「電子ビームリソグラフィにおける近接効果の補正、(I.理論)(II.実動化)(III.実験)」に提案されたもう一つの方法は、パターンを小部分に分割しそれら小部分の各々の露光を変動させて均一なレジスト露光量を確保しているが、この方法は近接効果全部を考慮にいれているわけではなく、ランタイム計算との間で両立性を保持できない。
ジャーナル オブ バキュウム サイエンス テクノロジーB6巻(1)1988年1月/2月号第443頁所載のOtto他の論文「ABELE−150についての近接効果補正」に提案されたさらにもう一つの方法は特徴形状の縁の露光量をパターン領域のそれ以外の部分とは別に制御することによって散乱近接効果を補正する。しかし、上記のParikh他の場合と同様に、この方法も近接効果全部を考慮に入れてなく、ランタイム計算との間に両立性がない。
上記の方法および上記以外の多くの方法は、ベクトルデータ形式のデータを用いて補正値を計算している。ベクトル形式のデータは、近い隣接部が容易に見出されるようには構成されていないので、補正の算定に必要な計算は非常に複雑になる。また、ベクトルデータが加熱補正に必要な露光シーケンスとタイミングに関する詳しい情報を含んでいることは稀である。
補正値をベクトルデータベースから計算する場合は、補正値の計算の前にデータをまず空間的および時間的に分類しなければならない。データベースは離散的形状特徴を区画しているので、計算はそのようなパターン特徴部分をより小さい構成部分に分解し、それら構成部分の各々に補正露光量を割り当てなければならない。散乱の範囲が増大し特徴部分が小さくなるにつれて、各特徴部分の補正露光量の計算は非常に多数の隣接特徴部分からの影響を考慮しなければならず、データファイル内の特徴部分の各々について多数の分類操作と幾何学的操作とを必要とする。すなわち、近接効果補正の前処理は低速度であり、ベクトルデータ表示を露光期間中またはランタイム期間中に近接効果補正するのは実際的でない。
露光についてのデータの交互表示を用いた電子ビームリソグラフィ装置が1975年8月19日発行Collier他名義の米国特許第3,900,737号の主題である。その特許に開示された露光装置は、テレビジョンと同様の周期的ラスタ走査を用いてパターンを露光する。この種の装置は、各構成画素の露光を特定する所定の高精細度格子に露光すべきパターンを表す「ラスタ化した」または「画素化した」データ形式にベクトルデータ表示を変換する。パターンデータベース全体をラスタ走査形式で格納しようとすると所要メモリー容量が大きくなりすぎて実用的でなくなるので、この種の装置はベクトルデータ形式で始動し、露光期間中のランタイムにラスタ化データを発生する。
ベクトルデータから発生したラスタ形式を空間的および時間的に分類した画素に分解し、これによって近接効果補正の計算を単純化し高速化する。レジスト加熱補正は画素露光の位置と時間との間の規則正しい関係を必要とするので、その補正にはラスタ化形式を用いる。しかし、ラスタ化データが使用できるのは露光中のみであるので、ラスタ化データからの近接効果補正の計算は、ランタイム中に行わなければならない。
従来技術の一つの方法、すなわち「ゴースト」法は、ランタイム補正手法の一例である(1984年7月31日発行Owen他名義の米国特許第4,463,265号参照)。「ゴースト」法は二つの互いに別個の露光経路を用いており、その一つは焦点合わせしたビームによるパターン露光であり、もう一つは散乱範囲と同じ大きさの焦点外れビームによる相補パターン露光である。リアルタイム計算はほとんど必要ない。しかし、「ゴースト」法は2倍の露光時間を要する。また、この手法は露光のコントラストを低下させ、プロセスの許容範囲と形状特徴の寸法の制御に悪影響を与える。また、「ゴースト」法は、近域散乱および解像度効果を補正することができず、またレジスト加熱の補正もできない。すなわち、「ゴースト」法は、最適な近接効果補正手法とはいえない。
従って、ランタイム期間中に電子散乱近接効果の補正値全部を計算する方法が求められている。電子散乱についてだけでなくレジスト加熱および基板膨張についても近接効果補正を計算する方法が求められている。
概要
本発明は、ラスタ走査描画ストラテジーを利用しパターンへの近接効果補正を露光ランタイム中に行うことができるパターン発生装置およびその方法を対象とする。ここに説明する実施例は電子ビーム露光装置を対象としているが、本発明はそれ以外の荷電粒子ビーム露光装置にも適用できる。
本発明は、ベクトル化パターン表示をラスタ化パターン表示に変換し、そのラスタ化パターン表示を画素化露光レベルデータとして一時的に蓄積する装置を提供することを目的とする。そのデータを蓄積装置から読み出して近接効果補正を行う。
非近距離散乱効果すなわち遠域散乱効果および加熱効果の補正のために、画素化露光レベルデータを離散的セルに分割した粗いカバレッジマップの計算に用いる。各セルについての平均露光領域、すなわちパターンカバレッジを計算し、遠域散乱効果および加熱効果露光量乗数の算定のために予め計算ずみの畳込みカーネルで畳込み演算を行う。また上記の粗いカバレッジマップを大域的熱膨張マップの計算に用いる。この大域的熱膨張マップは露光量および位置の履歴を含んでおり、それによって加熱のもたらす寸法形状の変化の計算から露光量および位置のデータの補正が可能になる。このようにして、熱膨張による近接効果の補正を計算する。
近域近接効果補正は、直近の隣接画素の露光量の評価により各画素のパターンの周辺状況をまず特定することによって行う。次に、特定したそのパターンの周辺状況を参照テーブルで調べ、各画素について近域露光量乗数を読み出す。これらの露光量乗数は予め定めたパターン縁部にある画素について予め計算した露光量修正因子であって、散乱および加熱畳込みカーネルでパターンカバレッジを畳込み演算することにより計算する。遠域露光量乗数および近域露光量乗数を予め計算ずみの露光参照テーブルを用いて合成し、各画素の露光量修正データを生ずる。
実行する補正の種類の各々について、計算および補正計算結果の一時的蓄積の両方を行うための装置を備える。これら一時的蓄積装置の各々は、パターン全体およびデータ経路の一部を補正値や中間結果などの挿入および読出しの両方について蓄積する。
画素の露光の直前にパターンの各画素について補正ずみの露光量を算定するように、遠域および近域露光量修正データを読み出して合成できる露光量修正装置を設ける。一方、大域的熱膨張マップを形成し、各画素の位置についての補正値の算定に用いる。次に、各画素についての補正ずみの露光量および位置のデータを、正しい位置における各画素の補正ずみ露光量による露光を指示する露光装置に送る。
【図面の簡単な説明】
図1は周期的ラスタ走査およびステージ移動を用いてビームを規則的画素格子上に位置づけるラスタ走査描画ストラテジーの単純化した図である。
図2は本発明の実施例においてラスタ化したパターンデータから抽出した粗いカバレッジマップの単純化した概略図である。
図3はラスタ化したデータベースから遠域散乱近接効果および加熱近接効果のランタイム補正のための中間的近接効果補正出力を生ずるのに必要な本発明の実施例で用いる過程を示すブロック図である。
図4Aおよび図4Bは本発明の実施例において縁部や角部などのパターン特徴部分を認識するようにラスタ化データベースから直近隣接画素露光データを用いる様子を示す概略図である。
図5はラスタ化データベースから近域近接効果の補正のための中間的近接効果補正出力を生ずるのに必要な本発明の実施例に用いる過程を示すブロック図である。
図6はラスタ化データベースから遠域近接効果および近域近接効果の両方の補正のための中間的近接効果補正出力を生ずるのに必要な本発明の実施例に用用いる過程を示すブロック図である。
図7は本発明の実施例において直近隣接画素参照テーブル法を用いラスタ化データベースからパターンデータに予めプログラムずみの修正を施す例の説明図である。
図8は大域的熱膨張効果および本発明の実施例によりパターンカバレッジデータを用いてその熱膨張効果を補正する様子を示す概略図である。
詳細な説明
図1乃至図8に示した方法および構造を参照して本発明の実施例を説明する。これらの図は内容の理解と説明を容易にすることだけを目的として作成した概略図である。本発明の実施例に多様の変形が可能であることはこの明細書の記載から当業者には明らかになるであろう。例えば、画素露光の説明には64レベルの一つを用いたラスタ化画素データの表示を説明するが、これ以外の適切なレベル数を用いた表示も可能であることは当業者には明らかであろう。同様に、補正プロセスで同様な過程を実行する上記以外の電子回路構成も利用可能である。本発明の考え方に基づく上記改変および変形はすべて本発明の真意と範囲に含まれる。
この明細書で説明するランタイム近接効果補正は、電子ビームパターン発生装置内においてラスタ走査描画ストラテジーおよびラスタ化パターンデータ表示を用いる。図1はラスタ走査描画ストラテジーの単純化した説明図である。一つ以上の円形断面のまたはそれ以外の形状の電子ビーム10で第1の方向20に周期的に走査し、それと直交する第2の方向におけるステージの動きで各画素40の露光を行う。ビーム10による第1の方向20の走査の度ごとに、一つ以上の列の画素40を露光する。この描画ストラテジーをサポートするために露光データを規則正しい配列の露光データとして構成する。約0.2乃至2.0ミクロン(μ)の範囲の大きさの形状特徴を描画するために、画素の大きさは通常、直径約0.05乃至0.2ミクロンにする。各画素40の露光データは一つの露光レベルPijから構成される。ここでiおよびjは格子50のインデックスである。電子ビーム10のオンまたはオフに伴って、それぞれPij=1または0となる。より複雑な装置では64の露光レベルPij=0〜63を用いる。
この明細書で述べる近接効果は三つの種類に大きく分類できる。すなわち、遠域近接効果、近域近接効果および大域熱膨張近接効果である。これら多様な近接効果の補正は描画中に各画素に適用すべき露光量修正の算定のための計算をすべて必要とする。それら計算の中には、この明細書で説明した方法によるランタイム補正に至る各種の関数の相互間の畳込み演算が含まれる。また、補正値を発生するために予め計算ずみの参照テーブルおよび畳込みカーネルを用いる計算もある。また、他の補正値を発生するために初期計算ずみのデータを用いる計算もある。すなわち、大域熱膨張の概算は、遠域近接効果について計算したパターンカバレッジデータに基づいて行う。
図2を参照すると、ラスタ形式のパターンデータの一つのフィールド120が示してある。遠域加熱効果補正値および遠域散乱効果補正値の算定のために、フィールド120の各位置における畳込み演算が必要である。このような畳込み演算は、近接位置すべてからの露光量の寄与を加算するものであり、一般に次式、すなわち
で表される。ここで、P(x,y)は位置(x,y)におけるパターン露光の振幅を記述する関数であり、f(x’,y’)は位置(x’,y’)における単位露光量の相対的影響を近接位置すべてからの全影響の百分率として記述する点拡散関数または不鮮明化関数である。
これら関数をラスタ化形式で図2のインデックスi,jの離散位置を有する格子110上に表示すると、格子位置k,lにおける畳込みC=(P*f)は次式、すなわち
で表される。ここで、拡散関数fは正規化してあり、Cは畳込みに用いたカーネルfに応じて散乱にも加熱にも適合する。拡散関数は半径120の範囲内にのみ有効な値を有するので、式(2)の畳込みは図示のフィールド全体について概算するだけでよい。
各画素の位置について式(2)を反復計算すると、近接効果を考慮した修正ずみのマップが形成される。個々の画素の大きさを近接効果相互作用の範囲に比較して小さくすると、各画素について必要な計算の回数がランタイム補正するには大きくなりすぎる。例えば、一辺あたり50μの計算フィールドと0.05μのビーム直径を有する50kVの電子ビームはフィールド100の範囲内に1,000,000個の画素を有する。従って、各画素あたり1,000,000回の計算が必要になる。ラスタ走査リソグラフィの場合のように画素の走査速度が100MHz以上に達する場合は、これは大きすぎる。
しかし、画素を基本単位として用いる代わりに、画素よりは大きく特定ビーム加速電圧に対する散乱範囲よりは小さいセル130を有する粗いカバレッジ格子上にパターンを表示すると計算は単純になる。すなわち、N×N個の画素データフィールドの代わりにインデックスk,l付きのM×M個のセル単位、すなわち各々が(N/M)2個の個々の画素を含むセル単位のデータフィールドを形成するのである。この単純化によって、低速変化点の拡散関数fk,lの値が各単位の中間に位置する結果になる。セル内の各画素の拡散関数がこの値により十分に表示されると計算速度は著しく高まる。
図2の中心にあるセル140については、式(2)の畳込み値は次式、すなわち
で表される。
局部的カバレッジPk,l=1/4i0,j0ΣPi,jを粗い格子上で見出すには各セルについて画素サイクル各々につき1回の加算動作を要するが畳込みのための乗算と加算の回数は減少する。一つのセルあたりのCij算出のための演算回数はN2回でなく、M2回になる。すなわち、通常の画素露光速度100MHzについては、M×M畳込み演算器における個々の動作の速度は10MHz以下となり、遠域近接効果補正値のリアルタイム計算が可能になる。
この単純化を適用し各セルについて畳込み値Ck1を計算したのち、一つのセルによる全画素の露光量修正の特定のアルゴリズムを適用して補正値マップを計算する。ここに用いる特定のアルゴリズムは多様であるが、選択したアルゴリズムはすべての特徴形状の縁への伝達エネルギー実効値がレジスト現像に最適の閾値エネルギーに等しくなる露光量関数を発生するのに適合した設計上の選択である。伝達エネルギーの勾配が最も急であって照射量の誤差によるずれを最小にする位置に、この最適閾値Eeff=1/2を位置づける。
遠域散乱効果と加熱効果の両方を組み合わせた実行可能なアルゴリズムの一つの例は、次式、すなわち
で表される。ここで、ηは上方へ向かう後方散乱電子からレジストに伝達された全エネルギーの、下方へ向かう電子から伝達されたエネルギーに対する比であり、μは前に露光ずみの周囲フラッシュ位置を加熱効果を伴って露光したときに伝達される付加的実効露光を加熱効果なしで同じ露光にかけた場合と比較した定数であり、γはレジストの下の基板からの間接的加熱効果を反映する正規化拡散関数であり、βはレジストの下の基板から後方散乱ののち上方に向かう電子によりレジストに伝達されたエネルギーを反映する正規化拡散関数である。
畳込みカーネルγklおよびβklは事前に計算するが、各位置k,lについての畳込み値(P*γ)klおよび(P*β)klはランタイム中にパターンデータから計算する。加熱および散乱カバレッジ(P*β)および(P*γ)の各組み合わせについて固有の照射量乗数dβγがあるので、このデータはセルk,l内におけるすべてのフラッシュの補正露光量乗数dβγの算出のための参照テーブルアドレスデータとして使える。カーネルによるパターンの畳込みは、ランタイム中に実際に行うべき唯一のパターン依存の計算である。
図3を参照すると、本発明の実施例における遠域散乱近接効果および加熱近接効果のランタイム補正を図解するブロック図が示してある。ラスタ化画素データは生成ののち、例えばパターンバッファメモリ200に蓄積され、累積加算器210に送られる。加算器210は、各セルk,l内のすべての画素のΣPijを演算し、粗いカバレッジマップPklを発生する。この粗いカバレッジマップPklをマップバッファメモリ220に蓄積する。マップバッファデバイス220が満杯になると、マップPklデータを乗算器/累積加算器すなわち畳込み演算器230に送り、カーネルバッファメモリ240から畳込み演算器230への予め計算ずみ畳込みカーネルデータを用いて各セルについて畳込み値Ckl=(Pkl *fkl)を計算する。このようにして、畳込み値の合計ΣPklfklを各セルにつき1回ずつ計算する。ΣPklfklの値の各々は、カバレッジCkl対応の補正値dklを保持する参照テーブル250のアドレスとして用いる。最後に、露光量修正のために選択された値dklを、露光量修正バッファメモリ260に蓄積する。遠域散乱補正および加熱補正の値の同時計算は互いに異なる畳込み演算を要するので、必要な箇所の各々に互いに別個のカーネルメモリデバイス240および畳込み演算器230を設ける。
粗いマップ領域の縁に近い画素についての畳込みは、隣接領域からのデータを必要とする。従って、パターンバッファメモリ200は一つの走査ストライプのセグメントとそのセグメントを囲む隣接領域205についてのデータを蓄積している。これらの隣接領域205は加熱範囲および散乱範囲にほぼ等しい幅を有する。通常のビーム電圧の場合は、この隣接領域の幅は約10〜20μである。図1の走査領域20は一般に10倍広いので、この隣接領域データが付加的に必要になっても、スループットを著しく減らすことはない。このように、ラスタ走査パターン形成データ形式から抽出した粗いカバレッジマップは、高速化された畳込み演算に適合している。また、粗いマップセル内の露光量修正を可能にする畳込み演算器、すなわちそのような粗いマップデータから補正アルゴリズムを実行するのに参照テーブルを用いる演算器の構成が示してある。遠域加熱補正および散乱補正が同時並行的に必要とされる場合は、類似の畳込み演算装置を用いる。当業者に周知のとおり、図3を参照して上に述べた装置の各々と図5および図6を参照して後述する装置の各々は、実動化可能な技術である。例えば、畳込み演算器230は、前述の畳込み演算を行うように設計したソフトウェアを実行する汎用マイクロプロセッサで構成でき、また一つ以上の半導体素子を用いて実動化した畳込み演算エンジンで構成できる。
画像解像度への制約、前方散乱効果および隣接画素位置からの局部加熱などに起因する近域近接効果の補正について述べると、図4Aおよび図4Bには、中心の画素300を取り巻く直近隣接画素の3×3の配列が示してある。直近隣接画素8個全部が十分に露光され、例えばPij=1になった場合は、画素300は一つの形状特徴の内部画素である。直近の隣接画素が露光されず、例えばPij=0である場合は、画素300は特徴形状の縁部か角部のいずれかにある。図4Aおよび図4Bの両図において、中心の画素300は、ほぼ直角の外側角部を不鮮明に示す。
近域近接効果は図4Aおよび図4Bの各々において線310で示すとおりこの角に丸みを付ける。しかし、露光量補正によって、実効露光量を線310沿いのすべての点で確実に等しくすることができる。所要露光量補正のために縁部および角部の画素における(P*k)eおよび(P*σ)eの値を算定して各縁部画素について露光量修正係数算出のために印加する必要がある。すなわち、レジストを通って下方に向かう電子についての正規化拡散関数を用いた畳込み縁部カバレッジ関数(P*k)e、および直接加熱に起因すよる正規化拡散関数σ(x’,y’)を用いた局部的縁部カバレッジ関数(P*σ)eを、縁部露光量補正の算定に用いる。
このように、近域縁部露光量補正は三つの段階から成る。第1は畳込みおよび縁部修正露光量補正の事前計算である。これらの計算は、直近隣接画素の3×3個のアレーの中で可能なパターン周辺状況の各々について事前に行う。第2はラスタ化したデータから特定のパターンを認識することであり、第3は露光量修正を縁部画素の各々に割り当てることによる補正の実行である。ランタイム中に行うべき動作は上記第2および第3の動作だけである。
近域近接効果補正は畳込み演算回路を用いても計算できるが、直近隣接画素データを用いた参照テーブル手法の方がより効率的である。数個の画素のフィールド内で見ると、非常に限られた数の縁部や角部などのパターンしかない。これらは容易に認識することができ、参照テーブル内の適切な乗数を見出すことにより補正できる。
図4Aおよび図4Bにおいて、円320はkまたはσの反映された相互作用の働く範囲を示す。補正が適切に働く場合は、現像パターンの縁部はサンプリング点330を通らなければならない。図4Aは(P*k)eおよび(P*k*σ)eを算出した大きい形状特徴の角部を示す。図4Bは、一画素幅の線の角部を表す少し異なるパターンを示す。図4Bにおいては線310沿いの露光量に対するパターン面積の影響はより小さいので、局部的カバレッジが少し小さい。このように、図4Aの構造に対する露光量修正は図4Bのそれとは異なる。
図5は事前計算ずみの近域補正値を用いた直近隣接画素処理に有用な本発明の実施例を示す。バッファ200(図3)からのパターンデータPijを走査線によりシフトレジスタ(400)走査線を通す。このようにして、9個の直近の隣接画素すべてについてのデータを同時並行的に利用可能にし、画素を処理する度ごとに8個の隣接画素をパターンメモリから反復読出しするのを避けることができる。直近の9個の隣接画素全部からのパターンデータPijは、可能なパターンの各々についての適切な縁部露光量補正値dijPijを含む参照テーブル410のアドレスとして用いる。これら9個の画素全部を等しい露光量で露光する場合、画素を内部画素として自動的に認識し、補正の割当ては行わない。
近域散乱効果および近域熱効果の両方の補正値を予め計算ずみの露光量補正値にこれら両方の効果を含めるだけで同じ参照テーブルに合成することができる。しかし、角部または縁部の回転方向の向きは互いに異なる一連の画素露光で構成されるので、直近隣接加熱を考慮するためにはより多くのメモリーが必要になる。
当業者には周知のとおり、図5以外の形状でも露光量補正dijを実行できる。また、直近隣接領域を8個の直近画素に限定する必要はない。より多数の画素を選択できる。しかし、どのような形状を選択した場合でも、パターン認識アルゴリズムまたは認識装置が識別した事前計算ずみの縁部露光量補正値は電子ビームリソグラフィにおける近域近接効果のランタイム補正の方法を提供する。
図6を参照すると遠域近接効果および近域近接効果の両方に対する中間近接効果補正出力をラスタ化データベースから発生する本発明の実施例のブロック図が示してある。パターンバッファメモリ200(図3)からのデータPijを一つ以上の遠域補正プロセッサ500により散乱および加熱についは処理し、遠域補正畳込みCklを形成する。補正プロセッサ500は、機能的には図3について説明したものと同様である。プロセッサ500からの出力を時間補正バッファ510に蓄積して適切なタイミングの基礎とする。画素データPijは図5に示したものと機能的に同様の直近隣接セルプロセッサ520でも処理する。プロセッサ520からの出力dijPijは画素データバッファ630に蓄積する。セル補正バッファ510および画素データバッファ530の両方に補正ずみデータをロードすると、参照テーブル540がバッファ510および530からのデータをアドレスとして用いて補正アルゴリズムを実行し、露光量関数Dij(Ckl,dij,Pij)を見出す。バッファ510からの遠域データCklを各セルk,lのすべての画素に関して用い、一方、バッファ530からの近域カバレッジデータdeijPijはパターン周辺状況に従って各画素ごとに変化する。カバレッジパーセントレベルおよび隣接画素の組み合わせの数は限られているので、メモリーの所要量は大きくない。
補正アルゴリズムの結果Dijをこの装置により露光が必要になるまで補正パターンデータバッファ550に蓄積しておく。パターンバッファメモリは画素サイクルあたり2回以上読出し書込みされることはないので、データ処理は同期して基本画素速度と同じ速度で行うことができる。
周知のとおり、光学式ステッパーに使用されるレチクルは、光学的近接効果補正(OPC)を含む。OPC補正はステッパにおけるレチクル使用時にそのレチクルから形成される光学像の改善のために用いる所望パターンの意図的修正である。通常は事前処理ルーチンを用いてもとのパターンに変形を加え、光学的ステッパー用レチクル作成の際にOPC補正を適用する。すなわち、角部や細線端部などの特徴形状の標準的補正および縁部の位置および特徴形状寸法の意図的偏倚を加えてプロセス効果を補償する動作を通常は電子ビーム露光動作において行う。
本発明の幾つかの実施例においては、直近隣接画像プロセッサ400(図5)は、縁部および角部を認識できるだけでなく、それらを所定の方法でシフトさせ、装置内で自動的に偏倚およびOPC補正を行うこともできる。この動作は、近接フィールドカバレッジ関数の計算のためのサンプリング点の移動、または、露光量修正値の適用前に事前に指定した方法でパターン周辺状況を修正することにより行うことができる。上述の初めの種類の補正は、近域補正参照テーブルに事前にプログラムしておくことができる。
図7は、局部的パターンカバレッジの評価を角部または縁部などの特徴形状の近くで意図的に縁部のシフトを生じさせるようにいかに修正するかを示す。図4Aの角部の形状を再び示しているが、図4のサンプリング点330を外側にシフトさせてパターンの角部に位置するサンプリング点335にしている。図4Aの円320を図7の円325と比較すると、小さいパターン面積が円325の内側にあることが示されている。このように、局部的カバレッジ値(P*k)eはより小さくなり、角部の画素340への露光量を大きくしている。所望のレジスト形成輪郭を線315で示す。なお、統計的には角部の半径はさらに限られるが、角部の画素340の近傍開放部の面積は増加する。
特徴形状の位置誤差を発生し得る大域的熱膨張の予測補正について述べると、図8は基板600を固定点610の回りで弾性的に膨張または回転できるように三点支持部材(図示してない)に取り付けられた状態で示す。基板600のもとの形状とパターン格子を点線で表示してある。周知のとおり、電子ビーム(図示してない)による領域620の露光は領域620内の熱吸収と基板600の面内の温度分布を生ずる。この熱吸収は固定点610を基準とした領域620の形状および位置の変化を生じさせる。すなわち、領域620が露光されると、特徴形状の原点640が固定点610に対してシフトする。このシフトは大域的熱膨張効果と呼ばれ、第三の種類の近接効果を構成する。
大域的熱膨張効果の補正の計算は、粗いカバレッジマップPklからのデータを用いる。大域的熱膨張は非常に低速度で作用する遠域効果であるので、有限構成素子の粗い格子を使用できる。例えば、約500μ平方のパターン面積の走査ストライプセグメント内の原点および全パターンカバレッジで十分な入力データとなる。走査ストライプセグメントに伝達される熱は補正ずみ露光量の累積合計に比例する。このストライプセグメントの原点のアドレスを熱の伝達位置として用いる。近接効果補正のための熱入力情報およびカバレッジデータを供給するパターンカバレッジデータPklを用いて、大域的熱膨張マップを計算して補正値を算出し、有限要素解析を用いたランタイム中の特徴形状原点のシフトを計算できる。
熱膨張の振舞の計算のために多数の既存の有限要素解析プログラムの任意のものを用いることができる。位置および各位置に加えられた熱エネルギーの量を例えば1分に1回の割合で定期的に更新し、セグメント原点位置の更新された予測値を生ずる。ストライプセグメントシフトのこの更新予測値は、後続のセグメントの露光の前に、ビームまたはステージオフセット650に加える。
露光中における領域620の歪は露光中に伝達された熱全体量だけでなく熱の伝達場所にも影響を受ける。例えば、パターンを段階的に、すなわち第1の側622から第2の側624に走査ストライプ一つ分だけ移動する形で露光する場合は、歪は台形に見える。本発明の幾つかの実施例においては、熱領域620をより一様にするためにインターレース走査660を用いる。このような一様な加熱によって形状の歪を限定し、大域的膨張を著しく軽減し補正値の計算を単純化できる。
電子ビームリソグラフィにおける近接効果のランタイム補正を行う新規な方法を上に述べてきた。この方法は、加熱および散乱に起因する遠域および近域の両方の近接効果の補正を行う。また、この方法は大域的熱膨張効果の補正を行う。
本発明の実施例は、近接効果補正の従来の方法に対して著しい利点をもたらす。ラスタ化したパターンデータベースを用いてすべての補正をランタイム中に行うことができ、パターンデータの事前処理を除去することにより大幅な時間節減を実現できる。また、本発明の実施例はランタイム中に補正値の計算を行いその計算の中間結果を用いて一つ以上の補正値を算出することにより、従来の方法には不可能であった別の近接効果補正も行う。ランタイム中にOPC補正をかける本発明の実施例も提供している。このようにして、もとのパターンデータベースを維持できるとともに、OPC補正を互いに異なる処理能力に適合させたレチクルを作るように変えることができる。
Claims (8)
- 近接効果について補正ずみのビームを用いてパターンを形成する方法であって、
前記パターンのベクトル表示データベースを、前記ビームの特定露光線量で各々が表された複数の画素から成る前記パターンのラスタ表示データベースに変換する過程と、
前記ラスタ表示データベースから複数のセル、すなわち各々が前記画素一つよりも大きく前記ビームの所定の散乱範囲よりは小さい寸法を有する複数のセルを区画する過程と、
前記複数のセルの各々についての畳込み値を含む粗カバレージマップを算出する過程と、
前記畳込み値を用いて算出した全体的熱膨張マップを前記パターンについて算出する過程と、
前記粗カバレージマップからの値を予め算出ずみの畳込みカーネルで畳み込むことによって前記セルの各々について第1の線量補正乗数を算出する過程と、
隣接画素の各々の前記特定露光線量を用いて前記ラスタ表示データベースの前記画素の各々についてパターン前後関係を識別する過程と、
予め算出ずみの沿縁線量補正関連係数を前記パターン前後関係に従って適用することによって前記画素の各々について第2の線量補正乗数を算出する過程と、
前記第1および第2の線量補正乗数を参照テーブルの利用により組み合わせることによって線量変調を算出する過程と、
前記線量変調を用いて前記画素の各々につき補正露光線量を算出する過程と、
前記全体的熱膨張マップを用いて前記画素の各々につき特徴形状原点シフトを算出する過程と、
レジスト膜を形成した基板を前記パターンで前記ビームに露光して、前記パターンの前記画素の各々が前記補正露光線量および前記特徴形状原点シフトにより露光されるようにする過程と
を含む方法。 - 前記粗カバレージマップを算出する過程、前記全体的熱膨張マップを算出する過程、前記画素の各々につきパターン前後関係を識別する過程、前記第1および第2の線量補正乗数を算出する過程、および前記露光線量変調を算出する過程が前記パターンを前記ビームで露光している間に行われる請求項1記載の方法。
- 前記第1の線量補正乗数を算出する過程が前記ビームの電子散乱を記述した予め算出ずみの畳込みカーネルを用いる過程を含む請求項1記載の方法。
- 前記第1の線量補正乗数を算出する過程が前記ビームによる前記レジストの加熱を記述した予め算出ずみの畳込みカーネルを用いる過程をさらに含む請求項3記載の方法。
- 前記第1の線量補正乗数を算出する過程が加熱および電子散乱の両方による近接効果を記述した予め算出ずみの畳込みカーネルを用いる過程を含む請求項1記載の方法。
- 前記全体的熱膨張マップを算出する過程が、前記パターン露光中の電子ビーム電流平均値および電子ビーム位置履歴に基づき前記基板の熱誘発変化を算出する過程を含む請求項1記載の方法。
- 前記基板を前記パターンで前記電子ビームに露光する過程が、インターレース式の周期走査パターンにより前記電子ビームで前記基板を横切って走査する過程を含む請求項1記載の方法。
- 前記基板を前記パターンで前記電子ビームに露光する過程が、前記全体的熱膨張マップに基づき前記ビームの位置を変化させる過程を含む請求項1記載の方法。
Applications Claiming Priority (3)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| US08/789,246 US5847959A (en) | 1997-01-28 | 1997-01-28 | Method and apparatus for run-time correction of proximity effects in pattern generation |
| US08/789,246 | 1997-01-28 | ||
| PCT/US1998/000904 WO1998033197A1 (en) | 1997-01-28 | 1998-01-23 | Method and apparatus for run-time correction of proximity effects in pattern generation |
Publications (2)
| Publication Number | Publication Date |
|---|---|
| JP2000508839A JP2000508839A (ja) | 2000-07-11 |
| JP4364310B2 true JP4364310B2 (ja) | 2009-11-18 |
Family
ID=25147038
Family Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| JP53205398A Expired - Fee Related JP4364310B2 (ja) | 1997-01-28 | 1998-01-23 | パターン発生における近接効果のランタイム補正の方法および装置 |
Country Status (7)
| Country | Link |
|---|---|
| US (1) | US5847959A (ja) |
| EP (1) | EP0895652B1 (ja) |
| JP (1) | JP4364310B2 (ja) |
| KR (1) | KR100393129B1 (ja) |
| CA (1) | CA2249573A1 (ja) |
| DE (1) | DE69813689T2 (ja) |
| WO (1) | WO1998033197A1 (ja) |
Cited By (3)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US8563953B2 (en) | 2010-09-22 | 2013-10-22 | Nuflare Technology, Inc. | Charged particle beam writing apparatus and charged particle beam writing method |
| US9224578B2 (en) | 2013-03-27 | 2015-12-29 | Nuflare Technology, Inc. | Charged particle beam writing apparatus and method for acquiring dose modulation coefficient of charged particle beam |
| US9268234B2 (en) | 2012-05-22 | 2016-02-23 | Nuflare Technology, Inc. | Charged particle beam lithography apparatus and charged particle beam pattern writing method |
Families Citing this family (109)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP3999301B2 (ja) * | 1997-03-07 | 2007-10-31 | 富士通株式会社 | 露光データ作成方法 |
| US6578188B1 (en) | 1997-09-17 | 2003-06-10 | Numerical Technologies, Inc. | Method and apparatus for a network-based mask defect printability analysis system |
| US7617474B2 (en) * | 1997-09-17 | 2009-11-10 | Synopsys, Inc. | System and method for providing defect printability analysis of photolithographic masks with job-based automation |
| US6370679B1 (en) | 1997-09-17 | 2002-04-09 | Numerical Technologies, Inc. | Data hierarchy layout correction and verification method and apparatus |
| US6453452B1 (en) * | 1997-12-12 | 2002-09-17 | Numerical Technologies, Inc. | Method and apparatus for data hierarchy maintenance in a system for mask description |
| US7093229B2 (en) * | 1997-09-17 | 2006-08-15 | Synopsys, Inc. | System and method for providing defect printability analysis of photolithographic masks with job-based automation |
| US6470489B1 (en) | 1997-09-17 | 2002-10-22 | Numerical Technologies, Inc. | Design rule checking system and method |
| US6757645B2 (en) | 1997-09-17 | 2004-06-29 | Numerical Technologies, Inc. | Visual inspection and verification system |
| US6081658A (en) * | 1997-12-31 | 2000-06-27 | Avant! Corporation | Proximity correction system for wafer lithography |
| US6035113A (en) * | 1998-01-05 | 2000-03-07 | International Business Machines Corporation | Electron beam proximity correction method for hierarchical design data |
| SE9800665D0 (sv) * | 1998-03-02 | 1998-03-02 | Micronic Laser Systems Ab | Improved method for projection printing using a micromirror SLM |
| US6360134B1 (en) | 1998-07-20 | 2002-03-19 | Photronics, Inc. | Method for creating and improved image on a photomask by negatively and positively overscanning the boundaries of an image pattern at inside corner locations |
| US6262429B1 (en) | 1999-01-06 | 2001-07-17 | Etec Systems, Inc. | Raster shaped beam, electron beam exposure strategy using a two dimensional multipixel flash field |
| US6259106B1 (en) * | 1999-01-06 | 2001-07-10 | Etec Systems, Inc. | Apparatus and method for controlling a beam shape |
| US6214496B1 (en) * | 1999-03-29 | 2001-04-10 | Infineon Technologies North America Corp. | Method for reducing corner rounding in mask fabrication utilizing elliptical energy beam |
| AU3815200A (en) * | 1999-04-01 | 2000-10-23 | Sigma-C Gmbh | Method for correcting image faults |
| US6424879B1 (en) | 1999-04-13 | 2002-07-23 | Applied Materials, Inc. | System and method to correct for distortion caused by bulk heating in a substrate |
| US6467076B1 (en) * | 1999-04-30 | 2002-10-15 | Nicolas Bailey Cobb | Method and apparatus for submicron IC design |
| US6720565B2 (en) | 1999-06-30 | 2004-04-13 | Applied Materials, Inc. | Real-time prediction of and correction of proximity resist heating in raster scan particle beam lithography |
| US6373071B1 (en) * | 1999-06-30 | 2002-04-16 | Applied Materials, Inc. | Real-time prediction of proximity resist heating and correction of raster scan electron beam lithography |
| US6521901B1 (en) * | 1999-09-20 | 2003-02-18 | Applied Materials, Inc. | System to reduce heat-induced distortion of photomasks during lithography |
| JP2001168017A (ja) * | 1999-12-13 | 2001-06-22 | Canon Inc | 荷電粒子線露光装置、荷電粒子線露光方法及び制御データの決定方法、該方法を適用したデバイスの製造方法。 |
| JP2001168018A (ja) | 1999-12-13 | 2001-06-22 | Canon Inc | 荷電粒子線露光装置、荷電粒子線露光方法及び露光補正データの決定方法、該方法を適用したデバイスの製造方法。 |
| US6584609B1 (en) * | 2000-02-28 | 2003-06-24 | Numerical Technologies, Inc. | Method and apparatus for mixed-mode optical proximity correction |
| US6420717B1 (en) * | 2000-04-11 | 2002-07-16 | Applied Materials, Inc. | Method and apparatus for real-time correction of resist heating in lithography |
| US6647137B1 (en) * | 2000-07-10 | 2003-11-11 | International Business Machines Corporation | Characterizing kernel function in photolithography based on photoresist pattern |
| US6379851B1 (en) * | 2000-07-31 | 2002-04-30 | Applied Materials, Inc. | Methods to predict and correct resist heating during lithography |
| US6523162B1 (en) | 2000-08-02 | 2003-02-18 | Numerical Technologies, Inc. | General purpose shape-based layout processing scheme for IC layout modifications |
| GB2367228A (en) * | 2000-09-21 | 2002-03-27 | Leica Microsys Lithography Ltd | Method for ascertaining the radiation dose for a layout |
| US6625801B1 (en) | 2000-09-29 | 2003-09-23 | Numerical Technologies, Inc. | Dissection of printed edges from a fabrication layout for correcting proximity effects |
| US6453457B1 (en) | 2000-09-29 | 2002-09-17 | Numerical Technologies, Inc. | Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout |
| US6539521B1 (en) | 2000-09-29 | 2003-03-25 | Numerical Technologies, Inc. | Dissection of corners in a fabrication layout for correcting proximity effects |
| US6792590B1 (en) * | 2000-09-29 | 2004-09-14 | Numerical Technologies, Inc. | Dissection of edges with projection points in a fabrication layout for correcting proximity effects |
| US6557162B1 (en) | 2000-09-29 | 2003-04-29 | Numerical Technologies, Inc. | Method for high yield reticle formation |
| US6622288B1 (en) | 2000-10-25 | 2003-09-16 | Numerical Technologies, Inc. | Conflict sensitive compaction for resolving phase-shift conflicts in layouts for phase-shifted features |
| US6584610B1 (en) | 2000-10-25 | 2003-06-24 | Numerical Technologies, Inc. | Incrementally resolved phase-shift conflicts in layouts for phase-shifted features |
| US6665856B1 (en) | 2000-12-01 | 2003-12-16 | Numerical Technologies, Inc. | Displacing edge segments on a fabrication layout based on proximity effects model amplitudes for correcting proximity effects |
| US6653026B2 (en) | 2000-12-20 | 2003-11-25 | Numerical Technologies, Inc. | Structure and method of correcting proximity effects in a tri-tone attenuated phase-shifting mask |
| US6505327B2 (en) | 2001-04-13 | 2003-01-07 | Numerical Technologies, Inc. | Generating an instance-based representation of a design hierarchy |
| US6789237B1 (en) * | 2001-05-11 | 2004-09-07 | Northwestern University | Efficient model order reduction via multi-point moment matching |
| US6560766B2 (en) | 2001-07-26 | 2003-05-06 | Numerical Technologies, Inc. | Method and apparatus for analyzing a layout using an instance-based representation |
| US6684382B2 (en) | 2001-08-31 | 2004-01-27 | Numerical Technologies, Inc. | Microloading effect correction |
| US6735752B2 (en) | 2001-09-10 | 2004-05-11 | Numerical Technologies, Inc. | Modifying a hierarchical representation of a circuit to process features created by interactions between cells |
| US6738958B2 (en) | 2001-09-10 | 2004-05-18 | Numerical Technologies, Inc. | Modifying a hierarchical representation of a circuit to process composite gates |
| US6670082B2 (en) * | 2001-10-09 | 2003-12-30 | Numerical Technologies, Inc. | System and method for correcting 3D effects in an alternating phase-shifting mask |
| US6767674B2 (en) | 2001-10-26 | 2004-07-27 | Infineon Technologies Ag | Method for obtaining elliptical and rounded shapes using beam shaping |
| KR100435260B1 (ko) | 2001-12-03 | 2004-06-11 | 삼성전자주식회사 | 포토리소그래피 공정의 얼라인 계측방법 |
| US6792592B2 (en) | 2002-08-30 | 2004-09-14 | Numerical Technologies, Inc. | Considering mask writer properties during the optical proximity correction process |
| US7172838B2 (en) * | 2002-09-27 | 2007-02-06 | Wilhelm Maurer | Chromeless phase mask layout generation |
| JP2004128196A (ja) * | 2002-10-02 | 2004-04-22 | Hitachi High-Technologies Corp | 電子線描画装置と電子線描画方法 |
| US6872507B2 (en) | 2002-11-01 | 2005-03-29 | Taiwan Semiconductor Manufacturing Company | Radiation correction method for electron beam lithography |
| US7928404B2 (en) * | 2003-10-07 | 2011-04-19 | Multibeam Corporation | Variable-ratio double-deflection beam blanker |
| US20090008579A1 (en) * | 2003-10-07 | 2009-01-08 | Tokyo Electron Limited | Electron beam lithography apparatus and design method of patterned beam-defining aperture |
| US7462848B2 (en) * | 2003-10-07 | 2008-12-09 | Multibeam Systems, Inc. | Optics for generation of high current density patterned charged particle beams |
| JP2005183577A (ja) * | 2003-12-18 | 2005-07-07 | Sony Corp | 露光装置、露光方法、および半導体装置の製造方法 |
| US7025280B2 (en) * | 2004-01-30 | 2006-04-11 | Tokyo Electron Limited | Adaptive real time control of a reticle/mask system |
| US7148496B2 (en) * | 2004-04-13 | 2006-12-12 | Massachusetts Institute Of Technology | System and method for proximity effect correction in imaging systems |
| US7529421B2 (en) * | 2004-07-01 | 2009-05-05 | Applied Materials, Inc. | Optical proximity correction in raster scan printing based on corner matching templates |
| US7207029B2 (en) * | 2004-09-29 | 2007-04-17 | Synopsys, Inc. | Calculating etch proximity-correction using image-precision techniques |
| US20060183025A1 (en) * | 2005-02-14 | 2006-08-17 | Micron Technology, Inc. | Methods of forming mask patterns, methods of correcting feature dimension variation, microlithography methods, recording medium and electron beam exposure system |
| JP2007110087A (ja) | 2005-09-13 | 2007-04-26 | Hitachi High-Technologies Corp | 電子線装置及び電子線照射パターン生成方法 |
| KR101407913B1 (ko) * | 2005-09-26 | 2014-06-17 | 마이크로닉 마이데이터 아베 | 설계 데이터의 다중 형태에 기반한 패턴 발생 방법 및시스템 |
| US7265361B2 (en) * | 2005-09-28 | 2007-09-04 | Applied Materials, Inc. | Beam blanker driver system and method |
| US7417233B2 (en) * | 2005-09-28 | 2008-08-26 | Applied Materials, Inc. | Beam exposure correction system and method |
| US7476879B2 (en) * | 2005-09-30 | 2009-01-13 | Applied Materials, Inc. | Placement effects correction in raster pattern generator |
| US7498591B2 (en) * | 2005-09-30 | 2009-03-03 | Applied Materials, Inc. | Critical dimension effects correction in raster pattern generator |
| JP4857963B2 (ja) * | 2006-07-05 | 2012-01-18 | ソニー株式会社 | パターン抽出方法,パターン抽出装置および半導体装置の製造方法 |
| US7897008B2 (en) * | 2006-10-27 | 2011-03-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for regional plasma control |
| US7902528B2 (en) * | 2006-11-21 | 2011-03-08 | Cadence Design Systems, Inc. | Method and system for proximity effect and dose correction for a particle beam writing device |
| US7824828B2 (en) * | 2007-02-22 | 2010-11-02 | Cadence Design Systems, Inc. | Method and system for improvement of dose correction for particle beam writers |
| US8387674B2 (en) | 2007-11-30 | 2013-03-05 | Taiwan Semiconductor Manufacturing Comany, Ltd. | Chip on wafer bonder |
| EP2433295A2 (en) * | 2009-05-20 | 2012-03-28 | Mapper Lithography IP B.V. | Dual pass scanning |
| CN102460633B (zh) * | 2009-05-20 | 2014-12-17 | 迈普尔平版印刷Ip有限公司 | 用于光刻系统的图案数据转换器 |
| US8178280B2 (en) * | 2010-02-05 | 2012-05-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-contained proximity effect correction inspiration for advanced lithography (special) |
| FR2959026B1 (fr) | 2010-04-15 | 2012-06-01 | Commissariat Energie Atomique | Procede de lithographie a optimisation combinee de l'energie rayonnee et de la geometrie de dessin |
| JP5792513B2 (ja) * | 2011-05-20 | 2015-10-14 | 株式会社ニューフレアテクノロジー | 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法 |
| US9484186B2 (en) * | 2012-10-23 | 2016-11-01 | Synopsys, Inc. | Modeling and correcting short-range and long-range effects in E-beam lithography |
| FR3000234B1 (fr) * | 2012-12-21 | 2015-02-27 | Commissariat Energie Atomique | Procede d'estimation de motifs a imprimer sur plaque ou sur masque par lithographie a faisceau d'electrons et dispositif d'impression correspondant |
| EP2994758B1 (en) | 2013-05-08 | 2017-12-20 | Opthea Limited | Biomarkers for age-related macular degeneration (amd) |
| US9184026B2 (en) | 2014-02-21 | 2015-11-10 | Mapper Lithography Ip B.V. | Proximity effect correction in a charged particle lithography system |
| US9443699B2 (en) | 2014-04-25 | 2016-09-13 | Ims Nanofabrication Ag | Multi-beam tool for cutting patterns |
| EP3358599B1 (en) | 2014-05-30 | 2021-01-27 | IMS Nanofabrication GmbH | Compensation of dose inhomogeneity using row calibration |
| JP6892214B2 (ja) * | 2014-07-10 | 2021-06-23 | アイエムエス ナノファブリケーション ゲーエムベーハー | 畳み込みカーネルを使用する粒子ビーム描画機のカスタマイズ化 |
| US9568907B2 (en) | 2014-09-05 | 2017-02-14 | Ims Nanofabrication Ag | Correction of short-range dislocations in a multi-beam writer |
| US9653263B2 (en) | 2015-03-17 | 2017-05-16 | Ims Nanofabrication Ag | Multi-beam writing of pattern areas of relaxed critical dimension |
| EP3096342B1 (en) | 2015-03-18 | 2017-09-20 | IMS Nanofabrication AG | Bi-directional double-pass multi-beam writing |
| JP2016184605A (ja) * | 2015-03-25 | 2016-10-20 | 株式会社ニューフレアテクノロジー | 荷電粒子ビーム描画装置及び描画データ作成方法 |
| US10410831B2 (en) | 2015-05-12 | 2019-09-10 | Ims Nanofabrication Gmbh | Multi-beam writing using inclined exposure stripes |
| KR102395198B1 (ko) | 2015-09-22 | 2022-05-06 | 삼성전자주식회사 | 마스크 패턴의 보정 방법 및 이를 이용하는 레티클의 제조 방법 |
| US10460071B2 (en) * | 2015-11-04 | 2019-10-29 | D2S, Inc. | Shaped beam lithography including temperature effects |
| US10325756B2 (en) | 2016-06-13 | 2019-06-18 | Ims Nanofabrication Gmbh | Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer |
| US10444629B2 (en) | 2016-06-28 | 2019-10-15 | D2S, Inc. | Bias correction for lithography |
| US10325757B2 (en) * | 2017-01-27 | 2019-06-18 | Ims Nanofabrication Gmbh | Advanced dose-level quantization of multibeam-writers |
| US10522329B2 (en) | 2017-08-25 | 2019-12-31 | Ims Nanofabrication Gmbh | Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus |
| US11569064B2 (en) | 2017-09-18 | 2023-01-31 | Ims Nanofabrication Gmbh | Method for irradiating a target using restricted placement grids |
| US10651010B2 (en) | 2018-01-09 | 2020-05-12 | Ims Nanofabrication Gmbh | Non-linear dose- and blur-dependent edge placement correction |
| US10840054B2 (en) | 2018-01-30 | 2020-11-17 | Ims Nanofabrication Gmbh | Charged-particle source and method for cleaning a charged-particle source using back-sputtering |
| JP7034825B2 (ja) * | 2018-05-16 | 2022-03-14 | 株式会社ニューフレアテクノロジー | 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法 |
| US10884395B2 (en) | 2018-12-22 | 2021-01-05 | D2S, Inc. | Method and system of reducing charged particle beam write time |
| US11604451B2 (en) | 2018-12-22 | 2023-03-14 | D2S, Inc. | Method and system of reducing charged particle beam write time |
| US11099482B2 (en) | 2019-05-03 | 2021-08-24 | Ims Nanofabrication Gmbh | Adapting the duration of exposure slots in multi-beam writers |
| US20230124768A1 (en) | 2019-05-24 | 2023-04-20 | D2S, Inc. | Method and system for determining a charged particle beam exposure for a local pattern density |
| US11756765B2 (en) | 2019-05-24 | 2023-09-12 | D2S, Inc. | Method and system for determining a charged particle beam exposure for a local pattern density |
| US10748744B1 (en) | 2019-05-24 | 2020-08-18 | D2S, Inc. | Method and system for determining a charged particle beam exposure for a local pattern density |
| US11392023B2 (en) * | 2019-07-19 | 2022-07-19 | Samsung Electronics Co., Ltd. | Method of designing a mask and method of manufacturing a semiconductor device using the same |
| KR20210132599A (ko) | 2020-04-24 | 2021-11-04 | 아이엠에스 나노패브릭케이션 게엠베하 | 대전 입자 소스 |
| EP4095882A1 (en) | 2021-05-25 | 2022-11-30 | IMS Nanofabrication GmbH | Pattern data processing for programmable direct-write apparatus |
| US12154756B2 (en) | 2021-08-12 | 2024-11-26 | Ims Nanofabrication Gmbh | Beam pattern device having beam absorber structure |
| JP2023138912A (ja) | 2022-03-21 | 2023-10-03 | アイエムエス ナノファブリケーション ゲーエムベーハー | リソグラフィ描画法における熱膨張の補正 |
Family Cites Families (19)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US3900737A (en) * | 1974-04-18 | 1975-08-19 | Bell Telephone Labor Inc | Electron beam exposure system |
| CA1100237A (en) * | 1977-03-23 | 1981-04-28 | Roger F.W. Pease | Multiple electron beam exposure system |
| US4243866A (en) * | 1979-01-11 | 1981-01-06 | International Business Machines Corporation | Method and apparatus for forming a variable size electron beam |
| US4463265A (en) * | 1982-06-17 | 1984-07-31 | Hewlett-Packard Company | Electron beam proximity effect correction by reverse field pattern exposure |
| US4988284A (en) * | 1986-10-08 | 1991-01-29 | Hewlett-Packard Company | Method for compensating for the E-beam proximity effect |
| US4812962A (en) * | 1987-04-09 | 1989-03-14 | Harris Corp. | Area feature sorting mechanism for neighborhood-based proximity correction in lithography processing of integrated circuit patterns |
| US5182718A (en) * | 1989-04-04 | 1993-01-26 | Matsushita Electric Industrial Co., Ltd. | Method and apparatus for writing a pattern on a semiconductor sample based on a resist pattern corrected for proximity effects resulting from direct exposure of the sample by a charged-particle beam or light |
| US5051598A (en) * | 1990-09-12 | 1991-09-24 | International Business Machines Corporation | Method for correcting proximity effects in electron beam lithography |
| JP3192157B2 (ja) * | 1990-09-17 | 2001-07-23 | 株式会社東芝 | 電子ビーム描画方法及び描画装置 |
| JPH065502A (ja) * | 1992-06-18 | 1994-01-14 | Fujitsu Ltd | 露光データ変換方法および露光データ変換装置 |
| US5294800A (en) * | 1992-07-31 | 1994-03-15 | International Business Machines Corporation | E-beam control data compaction system and method |
| US5304441A (en) * | 1992-12-31 | 1994-04-19 | International Business Machines Corporation | Method of optimizing exposure of photoresist by patterning as a function of thermal modeling |
| US5393987A (en) * | 1993-05-28 | 1995-02-28 | Etec Systems, Inc. | Dose modulation and pixel deflection for raster scan lithography |
| JP2647000B2 (ja) * | 1994-05-25 | 1997-08-27 | 日本電気株式会社 | 電子ビームの露光方法 |
| JPH08297692A (ja) * | 1994-09-16 | 1996-11-12 | Mitsubishi Electric Corp | 光近接補正装置及び方法並びにパタン形成方法 |
| US5657235A (en) * | 1995-05-03 | 1997-08-12 | International Business Machines Corporation | Continuous scale optical proximity correction by mask maker dose modulation |
| JPH0915833A (ja) * | 1995-06-30 | 1997-01-17 | Sony Corp | 露光用マスク作製装置における走査用データ作成装置及び走査用データの作成方法 |
| JP3454983B2 (ja) * | 1995-08-25 | 2003-10-06 | 株式会社東芝 | 荷電ビーム描画方法 |
| US5736281A (en) * | 1996-06-07 | 1998-04-07 | Lucent Technologies Inc. | Dose modification proximity effect compensation (PEC) technique for electron beam lithography |
-
1997
- 1997-01-28 US US08/789,246 patent/US5847959A/en not_active Expired - Lifetime
-
1998
- 1998-01-23 JP JP53205398A patent/JP4364310B2/ja not_active Expired - Fee Related
- 1998-01-23 WO PCT/US1998/000904 patent/WO1998033197A1/en active IP Right Grant
- 1998-01-23 KR KR10-1998-0707704A patent/KR100393129B1/ko not_active Expired - Fee Related
- 1998-01-23 CA CA002249573A patent/CA2249573A1/en not_active Abandoned
- 1998-01-23 EP EP98902808A patent/EP0895652B1/en not_active Expired - Lifetime
- 1998-01-23 DE DE69813689T patent/DE69813689T2/de not_active Expired - Fee Related
Cited By (3)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US8563953B2 (en) | 2010-09-22 | 2013-10-22 | Nuflare Technology, Inc. | Charged particle beam writing apparatus and charged particle beam writing method |
| US9268234B2 (en) | 2012-05-22 | 2016-02-23 | Nuflare Technology, Inc. | Charged particle beam lithography apparatus and charged particle beam pattern writing method |
| US9224578B2 (en) | 2013-03-27 | 2015-12-29 | Nuflare Technology, Inc. | Charged particle beam writing apparatus and method for acquiring dose modulation coefficient of charged particle beam |
Also Published As
| Publication number | Publication date |
|---|---|
| US5847959A (en) | 1998-12-08 |
| EP0895652B1 (en) | 2003-04-23 |
| WO1998033197A1 (en) | 1998-07-30 |
| KR100393129B1 (ko) | 2003-10-24 |
| JP2000508839A (ja) | 2000-07-11 |
| DE69813689T2 (de) | 2003-12-18 |
| CA2249573A1 (en) | 1998-07-30 |
| DE69813689D1 (de) | 2003-05-28 |
| EP0895652A1 (en) | 1999-02-10 |
| KR20000064800A (ko) | 2000-11-06 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| JP4364310B2 (ja) | パターン発生における近接効果のランタイム補正の方法および装置 | |
| JP2502418B2 (ja) | 電子ビ―ムリトグラフィにおける近接効果を補正する方法 | |
| KR101030982B1 (ko) | 래스터 패턴 생성기의 배치 효과 보정 | |
| US8877410B2 (en) | Data process for E-beam lithography | |
| US20030140330A1 (en) | Method for correcting a mask pattern, a computer program product, a method for producing a photomask, and method for manufacturing a semiconductor device | |
| US20050221204A1 (en) | Electron beam writing method and lithography mask manufacturing method | |
| US11789372B2 (en) | Writing data generating method and multi charged particle beam writing apparatus | |
| JP7183315B2 (ja) | マルチビーム描画機におけるブラー変化の補正 | |
| JP2001175857A (ja) | 参照画像作成方法、パターン検査装置及び参照画像作成プログラムを記録した記録媒体 | |
| US20190304748A1 (en) | Charged particle beam writing apparatus and charged particle beam writing method | |
| WO2007041056A2 (en) | Critical dimension effects correction in raster pattern generator | |
| JP3535399B2 (ja) | マスク描画データ作成方法 | |
| KR100891336B1 (ko) | 마스크 레이아웃 이미지의 생성 방법, 이를 수행하는프로그래밍된 명령을 저장하는 컴퓨터에서 판독 가능한저장 매체 및 이미징 시스템 | |
| CN110737178A (zh) | 描绘数据生成方法、计算机可读记录介质及多带电粒子束描绘装置 | |
| JP2004127967A (ja) | 荷電粒子ビーム描画装置 | |
| US20100175043A1 (en) | Fast and accurate method to simulate intermediate range flare effects | |
| US7420710B2 (en) | Optical proximity correction in raster scan printing based on grayscale manipulation of the bitmap | |
| JP3431444B2 (ja) | パターン描画方法及び描画装置 | |
| JP4563682B2 (ja) | 画像形成方法および装置 | |
| US20020051913A1 (en) | Method and apparatus for making photomasks with improved inside corner resolution | |
| Newman et al. | Evaluation of OPC mask printing with a raster scan pattern generator | |
| KR20250108602A (ko) | 패턴 밀도 데이터 생성을 위한 방법 및 장치 | |
| US20230048772A1 (en) | Mask data generation method and mask data generation program | |
| JP4503154B2 (ja) | 描画データ作成方法及び装置 | |
| JPH07201720A (ja) | 荷電粒子線露光方法 |
Legal Events
| Date | Code | Title | Description |
|---|---|---|---|
| A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20050119 |
|
| A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20080422 |
|
| A524 | Written submission of copy of amendment under article 19 pct |
Free format text: JAPANESE INTERMEDIATE CODE: A524 Effective date: 20080428 |
|
| A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20080722 |
|
| A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20081017 |
|
| A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20081121 |
|
| A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20081121 |
|
| A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090217 |
|
| A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20090513 |
|
| A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20090525 |
|
| A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20090622 |
|
| TRDD | Decision of grant or rejection written | ||
| A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20090721 |
|
| A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
| A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20090819 |
|
| FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120828 Year of fee payment: 3 |
|
| R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
| LAPS | Cancellation because of no payment of annual fees |