JP4889138B2 - 処理チャンバのための遠隔式プラズマクリーニング方法 - Google Patents
処理チャンバのための遠隔式プラズマクリーニング方法 Download PDFInfo
- Publication number
- JP4889138B2 JP4889138B2 JP2000201117A JP2000201117A JP4889138B2 JP 4889138 B2 JP4889138 B2 JP 4889138B2 JP 2000201117 A JP2000201117 A JP 2000201117A JP 2000201117 A JP2000201117 A JP 2000201117A JP 4889138 B2 JP4889138 B2 JP 4889138B2
- Authority
- JP
- Japan
- Prior art keywords
- chamber
- gas
- processing chamber
- processing
- region
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/304—Mechanical treatment, e.g. grinding, polishing, cutting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32862—In situ cleaning of vessels and/or internal parts
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/511—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32192—Microwave generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J9/00—Apparatus or processes specially adapted for the manufacture, installation, removal, maintenance of electric discharge tubes, discharge lamps, or parts thereof; Recovery of material from discharge tubes or lamps
- H01J9/38—Exhausting, degassing, filling, or cleaning vessels
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/905—Cleaning of reaction chamber
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Materials Engineering (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Analytical Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Mechanical Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Health & Medical Sciences (AREA)
- Epidemiology (AREA)
- Public Health (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Description
【発明の属する技術分野】
本発明は一般に、処理リアクタ内に蓄積された処理副生成物及び不必要な堆積物を取り去ることに適した装置及び方法に関する。より詳しくは、本発明は、タンタルを有する膜、例えば五酸化タンタル(Ta2O5)等の堆積の後、ウエハ処理装置の内部部品をクリーニングする方法に関する。さらに、ここに説明される方法は、他のプロセスによって形成された堆積物を取り去るに適しており、半導体デバイスやフラットパネルディスプレイの製造に有用である。
【0002】
【従来の技術】
小さいサイズのデバイス上に容量の大きな集積回路(IC)を形成する要求には、今日の64メガビットのDRAMを256メガビット、1ギガビットあるいはそれ以上の大きさの記憶装置へと変えることに対する大きな関心が含まれる。同じ又は小さな基板フットプリントデバイスに対してキャパシティをより大きくするこの必要性は、以前に用いられた従来の誘電体膜をスタック型のキャパシタの形成、例えば二酸化珪素(SiO2)を、より高い誘電率を有する誘電体膜に代替する必要を生じさせる。高い誘電率材料(例えばTa2O5)を有するキャパシタは通常、標準的なSiO2-Si3N4-SiO2スタックキャパシタに比べてかなり大きいキャパシタンス密度を有するので、IC製造における選択材料とすることができる。誘電率膜が高ければ、キャパシタ領域をより小さくすることが可能となり、それは、トランジスタのより近接したスペーシングを可能にし、トランジスタ密度を増加させる。スタックキャパシタ製造において大きな興味の対象である材料の1つは、SiO2の6倍以上の比誘電率を有する五酸化タンタルである。この材料の用途が増加し広がることを合わせれば、堆積サイクルを繰り返した後、処理チャンバ内に蓄積する不必要な堆積物を取り去る改良されたインシチュウの方法の必要性になる。
【0003】
利用可能な洗浄方法の1つに、処理チャンバに与えられる反応種を生産するための遠隔式(リモート)プラズマジェネレータの利用がある。1995年9月12日発行のHitachiの米国特許第5,449,411号は、SiO2の堆積の前に、真空チャンバをクリーニングするためのプロセスを説明する。C2F6、CF4、CHF3、CH6、F2、HF、Cl2またはHCl等の処理ガスのマイクロ波プラズマが記載される。この特許では、チャンバ内の電極にRF電界を印加することでクリーニングプロセスを改良することが可能であることが、更に説明される。
【0004】
【発明が解決しようとする課題】
Applied Komatsu Technologyに1998年8月4日発行された米国特許5,778,788では、およそ3,000〜12,000ワットの強力なマイクロ波ソース又はおよそ12,000ワット/リットル〜48,000ワット/リットルのリモートチャンバ内の出力密度を用いて先駆体ガスを励起することによる、電子デバイスの製造に用いられる堆積チャンバをクリーニングする方法を説明する。
【0005】
この特許では、アルゴン、窒素、ヘリウム、水素または酸素等の少数のキャリヤガスを用いて、反応種をチャンバへ輸送し、クリーニングプロセスを援助し、あるいは堆積チャンバ内のプラズマの発生及び安定を援助することができることを更に説明する。この特許はまた、反応種を更に励起するために用いられるチャンバベースの励振源をチャンバに具備して用いることが説明される。
【0006】
半導体工業に直面している別の問題は、処理ガスを得る費用が増大していることであり、これが、処理ガスにより生成される排気副生成物の廃棄費用が増大していることにも結合する。クリーニングガスNF3は、この問題の例証となる例である。優れたクリーニングガスと長年認められたため、NF3の調達費用は着実に増加した。クリーニングガスをより有効に利用することで、ガス消費量を全体的に減少させることに帰着する、改良されたリモートプラズマチャンバクリーニングプロセスが必要である。この改良プロセスは、効果的にプラズマを生成し又はチャンバ堆積物を取り除くためには、チャンバベースの励起を必要とすることなく、リモートマイクロ波励起源だけによるべきである。ガス消費量が低減されることにより、ガス供給コスト、CFC発生及びガス廃棄費用が低減される。更に、この改良方法は、Ta2O5その他の誘電膜等の誘電膜に対して、商業上現実的な洗浄率を提供することができなければならない。
【0007】
【課題を解決するための手段】
本発明の具体例は、処理チャンバの内面に形成された堆積物を処理チャンバよりクリーニングする方法であって、前記処理チャンバ内面が第1の領域と、前記第1の領域とは異なる前記第2の領域とを有し、以下の通りのステップを備えており、それらは、反応種を形成するため、不活性気体とクリーニングガスを備えるガス混合物を、前記処理チャンバの外側で分解するステップと、前記処理チャンバに前記反応種を提供するステップと、前記処理チャンバ第1の領域内で前記堆積物を前記反応種と反応させるステップと、前記処理チャンバ第1の領域内で形成された前記堆積物より、揮発性の組成物を形成するステップと、前記処理チャンバ第1の領域内に形成される堆積物から形成される前記揮発性の組成物を前記処理チャンバから取り除くステップと、前記処理チャンバの第1の領域と第2の領域の間の流体の連通を増加させるステップと、前記処理チャンバの第2の領域内の前記堆積物を前記反応種と反応させるステップと、前記処理チャンバの第2の領域内に形成される前記堆積物から、揮発性の組成物を形成するステップと、前記処理チャンバ第2の領域内に形成される堆積物から形成される前記揮発性の組成物を、前記処理チャンバから取り除くステップと、を有する。
【0008】
本発明の別の具体例は、処理チャンバ内に配置される基板上で実行される堆積操作の結果として処理チャンバの中に形成される堆積物を、取り除く方法であって、この方法は、前記処理チャンバとは別個の遠隔チャンバ内でクリーニングガスを励起して、前記クリーニングガスから反応種を形成するステップと、前記反応種と混合する不活性気体を提供して、反応種を備えるガス混合物を形成するステップと、前記処理チャンバを第1の圧力に維持しつつ、前記処理チャンバに前記ガス混合物を提供するステップと、前記第1の圧力で前記処理チャンバを維持しつつ、前記反応種を前記堆積物と反応させて揮発性の組成物を形成し、その後、前記第1の圧力で形成される前記揮発性の組成物を、前記処理チャンバから取り除くステップと、前記第1の圧力とは異なる第2の圧力に前記処理チャンバを維持しつつ、反応種を備えている前記ガス混合物を前記処理チャンバに提供するステップと、前記処理チャンバを前記第2の圧力に維持しつつ、前記反応種を前記堆積物に反応させて揮発性の組成物を形成し、その後、前記第2の圧力で形成される前記揮発性の組成物を前記処理チャンバから取り除くステップと、前記処理チャンバ内で処理操作を行い、前記チャンバ内に基板を配置せずに前記処理チャンバの内面上に膜を形成するステップとを有する。
【0009】
【発明の実施の形態】
本発明は、処理チャンバの内面上に蓄積された処理副生成物の除去のための新しいインシチュウのクリーニングプロセスに関するものである。ここに説明された具体例では、抵抗加熱CVDチャンバを用いる。このタイプのチャンバの一例は、アプライドマテリアルズ社によって製造されるxZスタイルのシングルウエハ処理チャンバであり、これは、以下に説明されるように変形される。ここに説明するチャンバは、五酸化タンタル(Ta2O5)の熱堆積等、多種多様な半導体製造技術に利用できるモジュール式の処理システムの一部である。以下の記載及び具体例では、Ta2O5を備える膜の堆積及びクリーニングに関して説明されるが、当業者は、本発明で説明された方法が、本発明の範囲から離れることなく市販の処理システム及び操作に適応可能であると理解されよう。例の中には、周知の半導体処理装置及び方法論の説明をしなかったが、これは、本発明を不必要にあいまいにしないためである。
【0010】
図1は、処理チャンバ10及び遠隔プラズマジェネレータ60を有する本発明の処理システム5の概要図である。処理チャンバ10は、リッド20及びチャンバ本体22を備えており、これらが一緒になって、排気可能で温度制御された処理環境を形成する。リッド20及びチャンバ本体22は、典型的には、良好な熱的特性を有する堅い材料で作られる。例えば、チャンバリッド20と本体22は、アルミニウムで形成されてもよい。
【0011】
図1に示される代表的な具体例では、抵抗加熱基板支持体28がチャンバ10内に与えられ、これはワークピースを支持しかつ熱堆積反応のための反応熱を提供するが、この熱堆積反応は、例えば、タンタル前駆体の分解によるTa2O5の堆積、例えば(Ta(OC2H5)5)、TAETO、タンタルテトラエトキシドジメチルアミノエトキシド(tantalum tetraethoxide dimethylaminoethoxide (Ta(OEt)4(OCH2CH2N(Me)2)やTAT-DMAE等である。基板支持体28内の抵抗ヒータ29に与えられる電力は、ヒータ制御装置44により調整される。ヒータ29への電力は、チャンバ10内で実行される望ましい処理操作のために適切な温度を与えるように調整される。チャンバ10内での基板支持体28の垂直位置は、リフトメカニズム42によって制御される。支持体シャフト41が、基板支持体28に結合し、メカニズム42を持ち上げる。チャンバ10内の基板支持体28の位置又はスペーシングは、シャワーヘッド32の下面と基板支持体28の上面の間の離隔距離である。スペーシングは、1000分の1インチ又はミルの単位で測定され、大きなスペーシングは、シャワーヘッド32と基板支持体28の間の離隔距離が増加したことを示す。チャンバ10内に部品は、典型的には保護コーティングを有するか、あるいは、比較的高温及び、しばしば電子部品の製造に用いる腐食性化学環境への曝露を認容する耐久性のある原料から製造される。例えば、シャワーヘッド32はアルミニウムから形成されてもよい。グラファイトとセラミック組成は、基板支持体28及び支持シャフト41の製造にしばしば用いられる。
【0012】
ポンプ15と絞り弁14を用いて、チャンバ10を排気し、チャンバ10内に圧力調整された環境を提供する。ポンプ15は、隔壁22の周囲の中に配置され基板支持体28を取り囲むポンピングチャンネル40に連通する。ポンピング板34が、複数の孔径36を具備し、チャンバ内部領域24及び26のより一様な伝導と排気を提供する。スペーシング38は、基板支持体28をポンピング板34から隔てる。図1の代表的なチャンバ10について、スペーシング38は0.112インチ〜0.088インチである。このように、基板支持体28とポンピング板34は実質的に、チャンバ10の内部を、上側内部領域24と下側内部領域26に分割する。また、上側内部領域24は、シャワーヘッド32とガス分配板30を有する。
【0013】
処理ガスは、チャンバ10の外側でガスサプライ46及び48の中に貯蔵されている。バルブ及びコントローラ50は、チャンバ10へのガス導入に用いられるマスフロー制御装置等の電子測定系と制御系を表す。サプライ46と48からのガスは、バルブ及びコントローラ50より、内部のチャンバ領域24及び26に入る前に、チャンバサプライ配管52、リッド20、ガス分配板30そしてシャワーヘッド32の中を流れる。ここではシングルバルブ及びコントローラ50を用いて示したが、ガスサプライ46及び48は、チャンバ10内に要望されるプロセスによっては、独立のバルブとコントローラユニット50によって制御することができる。
【0014】
また、ガスを励起してチャンバ内部領域24及び26に生成反応種を提供するために用いられる遠隔プラズマ生成システム60が図1に例示される。遠隔プラズマジェネレータ60の1つの利点は、遠隔プラズマジェネレータ60によって発生する生成プラズマないし反応種を、内部チャンバ処理領域24と26内にクリーニング又はプロセス用途に用いることにより、基板支持体28等の内部チャンバ部品、シャワーヘッド32又はポンピング34が、プラズマ生成のために内部処理領域24と26内にRFエネルギーが印加される場合に通常生じるイオン衝撃を受けないようにする。遠隔で励起されたプラズマにより生成した反応種は、有害性が低いと考えられるが、それは、内部チャンバ部品がイオン衝撃を受けないからである。
【0015】
チャンバベースの励起クリーニング方法に対しての、本発明の遠隔励起クリーニング方法の別の利点は、遠隔励起クリーニング方法において、チャンバ条件、例えば温度等がチャンバで行われるプロセスに関連する条件又はその近くに維持できるということである。例えば、475℃でTa2O5を堆積するためにチャンバが用いられる本発明の代表的な具体例では、遠隔励起された化学種を、同じ温度でチャンバに提供してもよく、これにより、堆積プロセスと遠隔励起クリーニングプロセスの間でチャンバ温度を変えるために要する延長時間が排除される。
【0016】
ここで、図1に示される遠隔プラズマジェネレータ60の略図に戻れば、本発明の遠隔プラズマ器械60の部品と操作がよく理解できる。マグネトロン組立体62は、マグネトロンチューブを収容し、これはマイクロ波エネルギを生成する。代表的なマグネトロンチューブ62は、羽根配列でアノードに囲まれる高温のフィラメント円筒状カソードから成る。電源装置から直流電力が供給されれば、このアノード/カソード組立体は、高磁界を生成する。この磁界に接触する電子は、アノードとカソードの間で移動する際、円の経路を進む。この円運動は、アノード羽根の間で、電圧共振、ないしマイクロ波を誘導する。アンテナは、マグネトロン62からアイソレーター64、そして導波管66へとマイクロ波を導く。アイソレーター64は、マグネトロン62への損傷を防ぐために反射電力を吸収し消滅させる。導波管66は、アイソレーター64からチューナー68へとマイクロ波を導く。
【0017】
チューナー68は、マグネトロン62を空胴共振器(マイクロ波キャビティ)72のインピーダンスに整合させ、導波管66に位置する3つの同調スタブの垂直位置を調整することによって、反射電力を最小の程度とする。チューナー68は、マニュアル又は自動で調整可能である。自動チューナーがチューナー68で使用される場合は、実際の前進電力(forward power)を設定点に連続的に整合させるために、フィードバック信号をマグネトロン電源装置に提供してもよい。オートチューナーコントローラ70は、反射電力を最小にするため、導波管66内の同調スタブの位置を制御する。また、オートチューナーコントローラ70は、前進電力及び反射電力の読み出しだけではなく、スタブの位置を表示する。
【0018】
手動のチューナーを用いる場合、必要に応じて、スタブの位置をマニュアルでセットすることができ、反射電力が最小になる。マニュアルのチューナーコントローラよりコストがかかるが、自動のチューナーコントローラは、クリーニングガス、例えばNF3等でプラズマを発火し維持することができる。クリーニングガスだけでは、プラズマを発火し維持することは困難であるため、通常、自動のチューナーコントローラが必要である。マニュアルのチューナーでは、別にプラズマ起動ステップが必要であり、この起動のステップでは、希ガスが遠隔装置の中を流され、プラズマが発火する。一旦希ガスによりプラズマがアプリケータ内に形成された後、クリーニングガスが導入される。最後に、クリーニングガスの流量が、要望された流動状態まで増加され、他方で、要望されたガス流れ又は気体組成が得られるまで希ガス流れが低減される。
【0019】
さて、マイクロ波アプリケータキャビティ72の横断面を示す図2に移れば、ここでは、供給管路78からのガスがマグネトロン62からのマイクロ波エネルギに曝露される。アプリケータ72は、円筒状の形状の別のクォーツチューブ75内に配置される円筒状の形状のサファイヤチューブ76を有する。チューブ75及び76への過熱と損傷を防ぐために、冷却水が、チューブ75と76を切り離す冷却水路77に提供される。チューナー68を出たマイクロ波エネルギは、この出たマイクロ波がチューブ75と76に向けられるよう、アプリケータ72に結合されたマイクロ波チャネル71の中を移動する。活性化容量73は、チャネル71とサファイヤチューブ76の横断面の交差部分により形成される。例えば、一具体例においては、活性化容量73は4.733 x 10-3リットルであり、マイクロ波チャネル71は方形の断面を有し高さ約3.4インチ、幅約1.7インチであり、他方、チューブ76は1.04のインチの内径を有している。代表的なマイクロ波電力の設定である1400〜3200ワットは、活性化容量73内に295,800W/L〜676,100W/Lの出力密度を発生させる。この出力密度は計測可能であり、システムの特定のジオメトリー及び利用されるマイクロ波電力によって変化する。方形かつ円筒状であると説明したが当業者には、チューブ75と76と同様に、他の形状をマイクロ波チャネル71に使用できることが理解されよう。チューブ76はサファイヤで、75はとクォーツで製造されると説明されているが、これらはマイクロ波エネルギへの曝露を維持可能な他の適当な材料で作られてもよい。さらに、チューブ76は、供給ライン78から提供されるガスに不活性でなければならない。
【0020】
ガス供給ライン78を介して供給されるガスまたはガス群は、マイクロ波アプリケータ72内の水冷されたサファイヤチューブ76に入る。マイクロ波エネルギを受けたガスまたはガス群はイオン化し、これは処理チャンバ10内でその後クリーニング操作と処理操作において用いることができる反応種を生成する。例えば、そのようなクリーニングガスはNF3であり、これは、基板がチャンバ10の中に存在しないとき、処理チャンバ内部領域24と26のクリーニングのために反応性の高い弗素を供給するために用いることができる。マイクロ波パワーレベルは、生成される反応種の量に対する1つの限界である。例えば、約3500Wのマイクロ波パワーレベルは、約1700sccmのNF3を完全に解離することが可能である。1700sccmより大きなガス流動が、不完全なクリーニングガス解離を生じることもある。光プラズマ感応素子74は、キャビティ72内のプラズマの存在を検出する。マイクロ波アプリケータキャビティ72内に発生する反応性種は、チャンバ供給ライン88を介してチャンバ10に供給される。
【0021】
再び図1を参照して、チャンバ供給ライン88内の反応性種は、制御弁90を通過するが、この制御弁90は、オンオフバルブ又は分流加減器バルブシステムの部分であってもよい。バルブ90に分流加減器(ダイバータ)を使用することで、遠隔プラズマジェネレータの継続操作を可能にする一方、その反応種がチャンバ10に与えられる必要がない。制御弁90を通過した後、遠隔プラズマジェネレータ60からの反応性種は、チャンバ内部領域24及び26に入る前に、ガス供給ライン52、リッド20、ガス分配板30、そしてシャワーヘッド32の中を流れる。
【0022】
遠隔プラズマジェネレータ60の中で解離されるべきガスは、ガスサプライ86及び84の中に貯蔵される。バルブ及び制御メカニズム80はガスサプライ86のための、バルブ及び制御メカニズム82は、ガスサプライ84のための、それぞれ、電子流量制御ユニットを表す。バルブと流れ制御メカニズム80と82の出力設定点は、ユーザーによって決定され、また、生じているガス流動出力は、サプライ配管78を介してマイクロ波アプリケータキャビティ72に提供される。本発明に従って、ガスサプライ84は、チャンバ10内に形成される堆積物の除去のための反応種に解離されるクリーニングガスのソースであってもよい。本発明の具体例がNF3の使用に関して説明されたが、反応性ガス又はクリーニングガスは多種多様なハロゲンとハロゲン化合物から選択されてもよい。例えば、反応性ガスは、塩素、弗素又はこの化合物(例えばNF3、CF4、SF6、C2F6、CCl4、C2Cl6)であってもよい。反応性のガスの選択は、取り除こうとする材料に依存する。例えば、本発明の代表的な具体例で述べられるように、反応性の弗素はTa2O5の蓄積物を取り除くか、クリーニングするために用いられてもよい。
【0023】
また本発明に従えば、ガスサプライ86は、2倍の目的を有する希ガスのソースである。マニュアルのチューナー60を有するこれらマイクロ波ジェネレーター装置60に対して、不活性ガスを用いて、マイクロ波アプリケータキャビティ72内にプラズマを点火する。第2に、以下に記す比に従い、不活性ガスを反応性のガスと同時に流し、反応種再結合を防止することにより、チャンバ10に達する反応種の数を増加させる。また、不活性ガスを添加することは、それらの反応種のチャンバ10内の滞在時間を増加させることになる。不活性ガスとクリーニングまたは反応性のガスの比を、流量について説明したが、不活性ガスとクリーニングガスの比は、また、他のあらゆる手段により決定することができ、チャンバ10に提供される各ガスの相対量を記述することができる。
【0024】
ガスサプライ78がアプリケータ72に不活性ガスを流すように、図1、5及び7に例示されるが、これに替えて、不活性ガスを供給ライン88で反応種の流れに与えることができることが理解されよう。アプリケータ72の下流で反応種の流れに不活性ガスを与えることは、アプリケータ72内のクリーニングガスの流れに印加される電力の量を増加するという更なる利点を有するが、これは、クリーニングガスだけがアプリケータ72の中を流れるからである。
【0025】
図1に例示されるように、遠隔プラズマ生成ソースと共に動作するように修正された処理チャンバで、本発明を実行することが可能である。図3は、本発明の新しいクリーニングプロセスを示すブロック線図300を有する。遠隔プラズマクリーニングプロセスが、図3のブロック302〜308で示される。例示の目的で、本発明の方法を、図1の処理システム5と同様の処理システムのために実行されるように説明する。従って、以下の記載では、図1に示される参照番号及び要素が用いられる。
【0026】
ブロック301の中に示されるように、本発明の第1のステップは、処理チャンバ内に堆積物を形成する処理操作を行うことである。この例示では、説明される処理操作は、およそ450℃でタンタル前駆体と酸化剤を混合することにより五酸化タンタルを熱堆積するものである。基板は、抵抗加熱基板支持体上に配置され、ヒータコントローラ44が、約450℃の適切な処理温度に基板を維持するよう、電力ヒータ29を調整する。たとえば、リフト機構42はチャンバ10内で、シャワーヘッド32に対して400ミルの間隔で基板支持体28を配置しまたその上にウエハを配置する。図1のガスサプライ46及び48は、酸素または亜酸化窒素等の酸化ガスとTAT-DMAEまたはTAETO等のタンタル前駆体を有する。N2といった不活性ガスを、タンタル前駆体のためのキャリヤガスとして用いてもよい。バルブ及びコントローラ機構50は、タンタルガスと酸化ガスの流量を混合し調整して、これをチャンバ10に運ぶ。支持体28上に配置される基板の温度は、流入するガス流体から五酸化タンタルを形成するに十分である。
【0027】
プロセスによっては、各基板が処理された後にクリーニングを必要とする。別のプロセスでは、連続的な一連のプロセスシーケンスの後の、あるいは指定された膜厚に堆積の後の、定期的なクリーニングでよい。定期的なクリーニングの1つの例としては、各基板が約100オングストローム(A)のTa2O5膜の受け取る場合に、一連の基板が処理されるTa2O5の堆積である。多数の基板がこのように処理された後、最後の基板がチャンバから取り出された後チャンバはクリーニングされる。Ta2O5のための代表的な処理サイクルは、各100オングストローム(A)をウエハ500枚ごとに、あるいは、膜の1ミクロン堆積ごとに、一回チャンバをクリーニングすることである。
【0028】
チャンバ10等の堆積チャンバは、チャンバ内に配置される基板の上に優先して膜を堆積させるように設計されている。また、堆積は、プロセスガスに反応を起こさせるための十分な温度では、内部領域24及び26内の他の表面にも生じる。活性化のため又は反応の駆動のために熱エネルギー以外の手段を有しないチャンバ10等の抵抗加熱堆積チャンバでは、シャワーヘッド32の下側面、ポンピング板34の上側面及び第1の内部領域24を囲む壁32等が、抵抗加熱された基板支持体に近接するため、堆積は加熱されたこれらの面上に生じることが予期される。基板支持体34が処理されている基板より大きいので、堆積はまた、処理されているウエハによって覆われない基板支持体34の上側表面の外周に生じる。定期的なクリーニングプロセスサイクルでは、蓄積物は、ウエハの枚数、プロセス及び各ウエハ上に堆積する膜厚によって変化する。
【0029】
図4は、ポンピング板34のエッジと、上述の堆積プロセスを実施後スペーシング38により隔てられる基板支持体28の拡大図である図1の図Aを例示する。通常、図4は、生じうるチャンバに特定の蓄積を代表する。代表的な定期的なクリーニングプロセス(例えばTa2O5の堆積)では、ウエハが連続して100枚処理され各ウエハが100オングストロームのTa2O5層を受ける。この処理サイクルは、基板支持体28に最も近いポンピング板34のエッジの露出領域に、最も大きな蓄積、約200オングストロームを形成する。他のチャンバ部品の蓄積の厚さは、構成要素の温度と処理ガスへの曝露の度合いによって変化する。
【0030】
ポンピング板34と基板支持体28によりチャンバ10が内部領域24と26に見かけ上分割されることは、内部のチャンバ構成要素の相互関係により特定のチャンバ内部領域が妨害されることを例示する。このように、ポンピング板34と基板支持体28の間のスペーシング38が狭いため、内部領域24及び26が生じる。この狭いスペーシング38は、内部領域24及び26の間の流体連絡を低下させる領域である。狭いスペーシング38は、処理チャンバ10内に起こる妨害の代表であり、チャンバ内部の好ましい堆積領域24を越えたところの反応条件は同様ではない。スペーシング38のような妨害及び制限は、チャンバ10等の抵抗加熱チャンバに限定されず、他の処理チャンバにも見出される。阻害が、処理領域内に異なる領域を形成する他の処理装置からの例は、以下を含む:リアクタ内に用いられる石英ボートによって、水平なフィードチューブリアクタの水平姿勢機構の部分が、チューブリアクタの中に利用されるクォーツウエハボートが、ボートを動かす装置によってブロックされる管のそれらの部分を妨げるような方法で妨げられ;エッチングプロセスに用いられるシャドウリングは、反応する物質ガスに露出されない面と逆にそれにさらされる面を有し、そして、エッチングされた材料を蓄積する場合があり;そして基板ハンドリングシステムは、処理チャンバの一部を妨害又は制限することができるリフト機構になってもよい。
【0031】
このように、図1の特定の具体例の中では、チャンバ10は、第1の内部領域24と第2の内部領域26に分割される。ポンピング板34とほぼ同じ面にある支持体28を有するスペーシング38の結果、第1の内部領域24の有効チャンバ容量は、およそ0.6402Lである。
【0032】
図3のフローチャート300及びブロック302に示されるように、基板がチャンバ10内にない間に、処理チャンバの外に位置する遠隔プラズマジェネレータ内にプラズマを点火する。先に述べたように、プラズマ開始ガスが、マニュアルのチューナーコントローラを有する遠隔プラズマシステム60に利用されるだろう。自動のチューナーコントローラを有する遠隔プラズマシステム60の場合、持続可能なプラズマを、選ばれたクリーニングガスから直接に点火することができるので、ステップ302は必要でない。プラズマ開始ガスは、VIII群のガス、例えばHe、Ne、XeやAr、あるいは不活性ガス、例えばN2や、プラズマ起動のために適する他のガスであってもよい。ここで、不活性ガスまたはプラズマ開始ガスは、ここで説明した出力レベルでマイクロ波エネルギに曝露されたときに解離するガスのことである。特定の具体例では、ガスサプライ86はArを有し、そして、バルブ及び制御メカニズム80が、Arの流れを、毎分約600標準立方センチメートル(sccm)で供給ライン76を通してマイクロ波アプリケータキャビティ72へ流れるように調整する。
【0033】
アプリケータキャビティ72の内部では、ガス流体は、水冷式のアプリケータ管を通り抜け、これは少なくとも100W、好ましくは約1400ワット〜3200ワットの間でマグネトロン組立体62によって発生するマイクロ波エネルギ準位に曝露される。図1のチャンバ10及び上記のガス流動のために適した代表的なマイクロ波エネルギーレベルは、約1400ワットである。プラズマを点火するためにArを用いる具体例では、マイクロ波エネルギはサファイヤ管を通り、Arプラズマがマイクロ波アプリケータキャビティ72内に生成する。プラズマは、高エネルギまたは内部で高温のArを生成し、これが、遠隔プラズマ供給ライン88、制御弁90、そしてガス供給ライン52内を流れて、チャンバ10に進入する。プラズマがマイクロ波アプリケータキャビティ72の中に確立される間、チャンバ10は約2.0のトールに維持される。マニュアルのチューナーによるそれらのシステムでは、Ar等の不活性ガスを用いて遠隔プラズマを点火し、チャンバ10に安定な高エネルギArフローを得るための代表的な時間は、約10秒以下である。
【0034】
次に、ブロック303に示されるように、反応種を形成するために、遠隔チャンバ内でガスを励起する。ガスサプライ84は、Cl2、HCl、ClF3、NF3、SF6、F2やHF等のハロゲン支持ガスを有していてもよい。自動のチューナーを有する遠隔装置60の場合、励起されたガスをプラズマ点火のためにも用いることができるため、ステップ302と303を一緒に実行してもよい。アプリケータ72内にプラズマを維持しつつ、ガスが供給ライン78の中を通ってマイクロ波アプリケータキャビティ72に流入することができるよう、バルブとコントローラ機構82によって、励起ガスの流量が与えられる。アプリケータキャビティ72の内側では、メガトロン組立体62によって発生させられるマイクロ波エネルギ及び点火されたプラズマにクリーニングガス流体が露出される、水冷式のサファイヤアプリケータ管を、ガス流体は、通り抜ける。代表的なマイクロ波エネルギーレベルは、約1400ワットである。NF3がクリーニングガスとして用いられる具体例では、アプリケータキャビティ72内で解離することにより、反応性のF、若干のN2、NFとF2の痕跡量を生成する。NF3を用いることは、解離エネルギが低いことや、各個々のNF3分子からの多数の反応性の弗素化学種が生成すること等、特定の利点を有する。
【0035】
次に、ブロック304に示されるように、処理チャンバの内部の領域に、不活性ガスと反応種の混合物が提供される。マイクロ波印可キャビティ72がチャンバ10に対して遠隔に位置するため、遠隔プラズマシステム60によって発生する反応性の種は、チャンバ供給ライン88に沿ってある距離を流れてチャンバ10に達する。その結果、チャンバ10へ流れる間、アプリケータキャビティ72で解離によって生成される反応種は、衝突して再結合する場合がある。チャンバ10内に形成される堆積物を取り除くために反応種を提供する代わりに、再結合された反応性の低いガスをチャンバ10に提供する。NF3がアプリケータ72内で励起される具体例では、反応性のFが再結合することがあり、あるいはそれに替えてチャンバ10にF2とNF2を提供してもよい。このように、チャンバ10の前で反応種が再結合することにより、チャンバ内部領域24及び26に反応種を提供するための遠隔プラズマジェネレーションシステム60の効率を低下させる。反応種で不活性ガスを提供することは、チャンバ10へ向かう分子の衝突が反応種と不活性ガスの間で生じる確率を増加し、そのために反応種再結合の確率が低減する。
【0036】
不活性ガスにAr、クリーニングガスにNF3である代表的な具体例では、それぞれの流量は、NF3が約200sccm、Arが約400sccm Arに調節される。不活性ガスと反応性のガスの間の比を約2:1に維持することにより、反応性ガスの解離により生成する反応種が再結合する確率を減らす。また、反応性ガスと不活性ガスの最適な比は、利用される特定の遠隔プラズマジェネレータの特性と用いる反応性ガスのタイプに応じて変えてもよい。反応性のガスと不活性ガスの比が上記の比2:1の約25%内に維持されたときに、有利な結果が成し遂げられた。
【0037】
次に、ブロック305に示されるように、処理チャンバ内の圧力を調整する。一般に、チャンバ圧力の特徴としては、圧力が高ければ、チャンバ内の反応種の滞在時間が低減される傾向があるということである。しかし、クリーニングが領域24内で最初に開始する場合、堆積物の量が最も多くなる。たとえ励起化学種の滞在時間と平均自由行程が小さい場合でも、励起化学種が堆積物と化学反応しこれを取り除く確率は高い。他方、圧力が低ければ、平均自由行程と滞在時間が大きくなる傾向があり、そのためチャンバの内部の領域を全体に反応種を分散することができると考えられる。本発明の特定の具体例では、チャンバ圧力を約2.0トールとした場合、基板支持体28上又はチャンバの中心部では、チャンバの壁22又はチャンバの外の部分よりも高いクリーニング速度となる。
【0038】
次に、ブロック306の中に示されるように、処理チャンバの内部領域で形成される堆積物を取り除く。チャンバ内面上に形成される堆積物を取り除くこと、ないしチャンバをクリーニングすることは、チャンバ面の堆積膜を反応種と反応させて揮発性の組成物を形成することにより成し遂げられる。例えば、図1のチャンバ10等、五酸化タンタル堆積に用いられるチャンバでは、反応性の弗素を形成するために先に述べたようにNF3を遠隔で解離することができる。反応性の弗素は、次いでチャンバ10の内部内に形成された五酸化タンタルと化学反応し、これを取り除く。図1の中に例示されたチャンバ10を参照すれば、チャンバ10に提供される大部分の反応種は、内部のチャンバ領域24内に形成される堆積物と化学反応する。基板支持体28とポンピング板34の間のスペーシング38が狭いため、反応性種の大部分は、内部領域24の有効容積の中に残留する。反応種の中には、基板支持体28とポンピング板34の向き合うエッジ及び下面に形成された五酸化タンタル堆積物と相互に作用するものもある。一般に、取り除かれる堆積物の大部分は、内部領域24内の面上に形成されたものである。例えば図1のチャンバ10では、シャワーヘッド32の下面とポンピング板34と基板支持体28の上面の上に形成された堆積物は、反応種と化学反応し、揮発性の組成物を形成するだろう。
【0039】
取り除かれるだろう堆積物は、図1の拡大図Aを例示する図4を参照することにより、よく理解することができる。図4には、ポンピング板34と基板支持体28がスペーシング38によって隔てられるチャンバの一部の様子が示される。それらの相対位置とチャンバ設計の理由で、ポンピング板34と基板支持体28が、直接にお互いに隣接したとき、あるいは図1及び4に示す堆積位置の中にあるとき、スペーシング38が最小になる。直接にポンピング板34に隣接する位置にある基板支持体28に対しては、図1及び図4に示すように、スペーシング38は、0.088〜0.112インチのオーダーにある。チャンバ領域24に導入される反応種は、ポンピング板34と基板支持体28の上面上に形成された堆積物25と容易に接触して反応するだろう。スペーシング38のため、領域24内に導入した反応性種が領域26に進入することが、ある程度妨げられる。その結果、取り除かれる大多数の堆積物を有するチャンバ内部領域24は、クリーニングされる第1の領域となる。第1のクリーニングサイクルの間、大部分のクリーニングは、第1の領域24内で行われる。
【0040】
図3のフローチャート300に戻れば、ブロック307に示されるように、揮発性の化合物が処理チャンバから取り除かれる。反応性の弗素種が五酸化タンタル堆積物と反応して揮発性の化合物を形成するため、これら化合物はポンプ15を介してチャンバ10の内部領域から排気される。このプロセスは、処理領域24内に形成された堆積物が取り除かれるまで続く。上述の2:1の不活性ガス/反応性ガスの比でNF3から生成した反応種についての代表的な除去速度は、五酸化タンタルでは毎分約1ミクロンである。
【0041】
本発明の特定の具体例では、最初の一連のステップ304〜307は、小容積の処理領域と高圧に対して、有利な不活性ガス−クリーニングガス混合物を提供したことを示す。例えば、この小容積の処理領域とは領域24の容量であってもよく、この高圧とは約2トールであってもよい。当業者には、ブロック304、305、306及び307を続けて図示し説明したのは、本発明の方法を説明する際の明瞭性のためだけであることが理解されよう。本発明を行う場合、当業者は、ブロック304、305、306及び307で説明される各ステップを、これとは異なる順序又はほぼ同時に実行することができるだろう。
【0042】
次のステップは、ブロック308に示されるように、全ての内部チャンバ領域で形成された堆積物が取り除かれるまで、ステップ304、305、306及び307を繰り返すことである。このステップは、内部チャンバ構成要素の相対位置と、チャンバ圧力と反応種との間の関係に起因する制限を考慮する。第1の一連のステップ304、305、306及び307では、堆積物は主に内部チャンバ領域24から取り除かれた。第2の一連のステップ304、305、306と307では、反応種が提供され堆積物が別の処理領域より、あるいは一連の処理領域より取り除かれる。
【0043】
図5に例示されるチャンバ10の代表的な具体例では、別の内部領域内での遠隔励起化学種を容易にするため、基板支持体28が別の場所に移された。換言すれば、処理領域24及び26の間での流体連絡を増大するため、基板支持体28が別の場所に移される。このように、領域24に進入する反応種は、より容易に領域26にアクセスしそこに形成される堆積物と反応することが可能である。この代表的な具体例では、内部の領域とは、内部領域24と26のことを指す。支持体28の運動について例示しているが、別のチャンバでは、第1の処理領域と第2の処理領域の間、あるいは第2の処理領域と次の処理領域の間の流体連絡を増加させるため、別の構成要素の互いに相対的な位置を変更する。
【0044】
図5に戻れば、この図5は、図1の処理システム5を示し、ここでは、上記のステップ301〜307が行われる。また、図5のチャンバ10も、追加の処理領域への流体連絡を増加させるための内部構成要素の配置を例示する。図5では、ポンピング板34の下の位置にある抵抗加熱基板支持体28が、効果的にスペーシング38を増加させ、そして領域24と領域26の間の流体連絡を増加させる。その結果、領域24に進入した反応性種は、容易に領域26内へと流れる。例えば、図1で基板支持体28のスペーシングが約400ミルであるならば、図5ではスペーシングは約550ミルである。図5の処理システム5は、その他の点では図1の処理システム5と同様に構成される。このように、同様の構成要素は同じ照合番号によって参照される。
【0045】
さて図6を参照し、これは図5の拡大図Aであるが、拡大されたスペーシング38と同様に、処理ステップ301〜307の影響をよく理解することができる。図5及び6は、ポンピング板34の平面の下の下降位置にある基板支持体28を例示する。スペーシング38は、図1及び4の場合のように、基板支持体28とポンピング板34がほぼ同じ水平面上にある場合を表すスペーシング38と比較して、かなりより大きい図5及び6の大きくなったスペーシング38により、遠隔で生成した反応種が下側チャンバ内部領域26に輸送されるようになり、そこに形成された堆積物が除去できるようになる。このように、基板支持体28が下降位置にあれば、チャンバ10の有効容積は、内部チャンバ領域24及び26の両方のフルボリュームであり、何故なら、チャンバの各内部領域からチャンバに導入される反応種を制限しないようスペーシング38が十分に大きいからである。また、プロセスの第1の一連のプロセスステップ301〜307の結果として、基板支持体28とポンピング板34の両方の上面からの堆積物25の除去が、図6に示される。更に、図6が例示するように、基板支持体28とポンピング板34のエッジ及び底面上の堆積物25は、これらの領域が反応種により容易に接近できるため、取り除くことができる。ステップ308は、第1の一連のステップ304〜307によるクリーニングが不十分であった追加処理領域から、追加の堆積物を取り除くためにステップ304〜307を繰り返すことが望まれることを示している。
【0046】
図3のフローチャート300に戻り、そしてブロック308に従えば、次のステップは、全ての堆積物が取り除かれるか全ての内部の領域が清浄になるまで、他の内部の領域に対してブロック304、305、306及び307を繰り返すことである。処理領域に提供されるガス混合物における不活性ガスと反応種の比を、ステップ304〜307の繰り返しの各々の間に変えてもよく、あるいは、ある要望された比に維持してもよいことが、理解されよう。例えば、先に述べたように、不活性ガスとクリーニングガスは、有利な2:1の比を維持してもよい。図5及び6に示すように、処理チャンバの内部の領域は、ステップ304で参照されるように、内部領域24及び26を有する、より大きい容量を有している。
【0047】
次に、ブロック305によれば、チャンバ10内の圧力を調整する。スペーシング38を大きくする他に、圧力の低減と、生じる滞在時間の増加により、反応種が内部領域26から堆積物を取り除くのを助けると考えられる。従って、チャンバ10内の圧力は低減され、これにより、反応種を内部領域34及び26内に広げる。代表的な圧力は、約900mT、又は、第1のステップ305で用いられる圧力のおよそ半分の圧力である。
【0048】
次は、ブロック306に従って、堆積物を反応種と反応させて揮発性の化合物を形成することにより堆積物を取り除く。ここで、図6の中に示されるように、反応を起こす堆積物の大部分は、下側の堆積領域26内で生じうる他の蓄積物だけではなく、基板支持体28とポンピング板34の上に残っていたものである。反応種がその領域の中に残っている堆積物と反応する間、クリーニングは領域24内で継続される。前述の通り、反応種は、処理領域内で堆積物と反応して、揮発性の化合物を生成する。
【0049】
本発明の特定の具体例では、第2の一連のステップ304〜307は、低い圧力で大きな容量の処理領域に有利な不活性ガス−クリーニングガス混合物を提供することを表す。たとえば、不活性ガス−クリーニングガス混合物が、約900mTの圧力で、領域24及び26の容量の和に提供される。あるいは、第2の一連のステップが、第1の一連のステップでクリーニングされたチャンバ容量より大きい容量を有するチャンバ内部領域で第1の一連のステップ304〜307で用いられる圧力の半分の圧力で行われる。
【0050】
次は、ブロック307に従い、揮発分がチャンバから取り除かれる。例えば、チャンバ10で、揮発性の化合物は、ポンプ15を介して内部領域24及び26から排気されるだろう。所望の場合、制御弁90を配置して開け閉めすることにより、反応種と不活性ガスがチャンバ10に到達することを防止する。反応種と不活性ガスがチャンバ10に進入することをそらすかあるいは妨げるかにより、前のクリーニング操作のあらゆる残留ガスを排気できるようにする。
次は、ブロック308に従い、ステップ304、305、306及び307を繰り返し行い、他の内部領域の中に形成された堆積物を取り除く。具体的に考慮されるべき事項は、ステップ304〜307を繰り返し行い、本発明の方法によりクリーニングされるチャンバの特定の構成に従い各内部のチャンバ領域に反応種を提供する。基板支持体28とポンピング板34が上側及び下側の内部領域24及び26をつくるように、他の処理チャンバは、そのチャンバの特定の内部構成要素によってつくられた領域又は部分を有するだろう。
チャンバ領域24と26が基板支持体28とポンピング板34の間で狭くなることについて説明されるが、これらの制限または阻害は、処理装置の実際上のタイプごとに生じる同様の問題を単に例示するだけのものである。チャンバ10の場合のようにポンピング板を使用するリアクタもあるが、他のリアクタは、分割し、ガス流動を制限し、あるいはチャンバ内部の内の処理領域の間の流体連絡を低減するプロセス特定の構成要素を有する。例は、相対位置によって、堆積ガスとクリーニングガスから互いを妨げるウエハハンドリング及びサセプタリフト機構を有するタイプ、のRF励起プラズマ堆積チャンバを有する。このタイプのチャンバでは、チャンバ内部を効果的にクリーニングするためにハンドラーとリフト機構を交互に置くことによって処理領域をつくってもよい。別の例は、処理の間のウエハ運動を排除するためにシャドウリングを使用するエッチングチャンバである。このチャンバでは、処理領域は、チャンバ内のシャドウリングの相対的な配置によって決定することができる。他の例では、処理領域に基板を動かすために軌道又はコンベヤシステムを利用するチューブリアクタやその他の処理システムを有する。トラックシステムまたはコンベヤシステムによって妨げられる領域により、このタイプのシステムの処理領域を形成することができる。チャンバタイプ、プロセスタイプチャンバ領域の他の例や、与えられたチャンバ内の内部構成要素の阻害要因は、当業者に理解され、またこれらは本発明の範囲内にある。
【0051】
次は、ブロック309に従い、処理操作を再開する前に処理チャンバの内側に材料の層を堆積する。このステップの1つの目的は、残留クリーニングガス、反応物及び揮発性の化合物を取り除くことであり、これは、処理チャンバ内に残しておいた場合に、その後堆積する膜を阻害する。状況により、不活性ガスでチャンバをパージすることで十分であるが、プロセスによっては、チャンバ内部面上に膜の層を故意に堆積しておくことにより、改良された結果をなしとげる。シーズニングを行うステップ、ないしはプロセスチャンバ内に故意に膜の層を堆積させるステップは、弗素をクリーニング反応物として用いた場合に特に重要である。残留弗素は、その後処理された基板上に堆積する膜の品質に対して有害な影響を有することがある。基板の存在なしにチャンバ内で膜の層を形成することにより、残留ガスが反応して揮発性の化合物を生成し、チャンバから排気される。さらに、チャンバ内に残っている粒子は、堆積したシーズニング層の中に捉えられる。いずれの場合も、シーズニングのステップは、未反応ないし残留のクリーニングガスと副生成物を、処理操作の再開の前にチャンバから確実に取り除けるようにする。タンタル堆積チャンバ等の代表的な具体例では、約475℃の温度でチャンバへ気化TAT-DMAE及び酸化ガスを流入することによって五酸化タンタルを基板支持体28の上やチャンバ10の他の内面上に堆積してもよい。
Ta2O5のための代表的なシーズニング層は、約2500オングストロームである。
シーズニング層の特定の厚さと組成は、堆積する膜とチャンバとタイプによって変える。
【0052】
本発明の最終のステップ、すなわちブロック310は、チャンバ10内での処理操作の再開である。この処理操作は、約450℃でタンタル前駆体と酸化剤を混合させることによる五酸化タンタルの熱堆積である。したがって、基板は抵抗加熱基板支持体28上に配置される。ヒータコントローラ44は、約450℃の妥当な処理温度に基板を維持するため、電力提供ヒータ29を調整する。例えば、リフト機構42は、シャワーヘッド32に対して、例えば400ミルのスペーシングで、チャンバ10内に基板支持体28及びその上のウエハを配置する。図1のガスサプライ46及び48は、酸素や亜酸化窒素等の酸化ガスと、TAT-DMAEやTAETO等のタンタル前駆体を有する。バルブ及びコントローラ機構50は、タンタルと酸化しているガスの流量を混合し調整して、これらをチャンバ10に届ける。支持体28上に配置される基板の温度は、入って来るガス流体から五酸化タンタルを形成するのに十分である。
【0053】
本発明の代替法は、また、多数の処理領域(例えばチャンバ10の第1の処理領域24及び第2の処理領域26)を有するチャンバの1つの処理領域内で行われてもよく、あるいは、図7のチャンバ100のように1つの処理領域だけを有しているチャンバ内で行われてもよい。この代替法は、クリーニングガス/不活性ガス比とチャンバ圧力を調整することによって行われる。図7に戻れば、単一の処理領域を有するチャンバの具体例を、よりよく理解することができる。
【0054】
図7は、単一の処理領域を有する代表的なチャンバ100を例示する。チャンバ100は、アルティマ(Ultima)高密度プラズマ(HDP)化学気相堆積(CVD)チャンバ、または、アルティマ HDP CVD チャンバ(米国カリフォルニア州サンタクララのアプライドマテリアルズ社により製造)であってもよい。チャンバ100は、プラズマ生成装置60とガスサプライ46及び48に結合される。チャンバ100はチャンバ本体105及びリッド110を有し、これらが一緒に、圧力と温度が制御された処理領域107を形成する。基板支持体125は、支持上面126を有し、処理領域107内に配置される。支持体アーム130がチャンバ本体105に結合し、基板支持体125を支持する。処理領域107内の圧力は、ターボポンプ140と粗引きポンプ150によって提供される。スロットルバルブ及びゲートバルブ組立体135は、処理領域107をターボポンプ140と粗引きポンプ150から分離して、処理領域107内の圧力を制御する。プラズマ装置60と、図7に示された同様の符号の要素は、図1と5に関して先に述べたように、同じものである。図7の遠隔プラズマ装置60はマニュアルのチューナーコントローラ68であるが、自動のチューナーコントローラを用いてクリーニングガスを解離してもよい。
【0055】
ガスサプライ48及び46は、商業品質の半導体膜を堆積するために用いられるあらゆる様々な処理ガスであってもよい。二酸化ケイ素、窒化ケイ素、弗素ドープのケイ酸塩ガラス(FSG)その他の低誘電率膜(リンドープのケイ酸塩ガラス(PSG)またはその他のプリメタルの誘電体膜)を堆積させるため、ガスサプライ46、48、そして、バルブ及びコントローラ50は、処理領域107に前駆体材料を提供するための構成を有していてもよい。ガスサプライ48及び46からのガスは、ガスサプライ入口52、次いでチャンバガス入口120の中に流入する。誘導コイル112は、チャンバ100内に行われる堆積プロセスのために、基板支持体上面126の上方の領域107部分にRFエネルギーを提供する。コイル112によって提供されるRFエネルギーは、堆積プロセスだけのために用いられ、本発明の遠隔クリーニングプロセスの間は用いられない。図7のチャンバ100等の代表的なプラズマ励起堆積リアクタでは、堆積物は、コイル112によって輪郭を描かれる領域の中に主に形成される傾向があるだろう。処理領域107内での堆積に対するコイル112の影響は、基板支持体上面126に生じる堆積物に最も高く集中し、そして、基板支持体125と支持体アーム130の側に沿って生じる。程度は非常に小さいが、チャンバリッド110及びチャンバ本体105の内面上にも堆積物が形成される。
【0056】
本発明の代替法は、チャンバの単一の処理領域の中で行うことができることが、図8を参照することにより、よく理解されるだろう。図8は、本発明の単一処理領域の方法のフローチャートである。
【0057】
先ず、図8のフローチャート800のブロック801に示されるように、処理チャンバ内に堆積物を形成し、チャンバから処理された基板を取り除いた後に、遠隔プラズマ装置の中にプラズマを点火する。マニュアルのチューナーコントローラを用いるため、プラズマはプラズマ開始ガスの使用を通じて、より容易に点火される。プラズマの点火は、約1000sccmの流量でアプリケータ72内を流れるAr等の不活性ガスを流すことにより実行される。チャンバ100内の圧力が600mTを超えるまで上昇した後、マグネトロン62がアプリケータ72内のArガス流れにマイクロ波エネルギを向ける。いったんプラズマが点火され、そして、クリーニングガスの流量を増加させつつ、プラズマ開始ガスの流量を減らすことにより、クリーニングガスが導入される。ArとNF3を用いる代表的な具体例では、Arを約1000sccmで流し、約3200Wでマイクロ波エネルギを生成するマグネトロン62によりプラズマを点火する。次いで、NF3を約100sccmでアプリケータ72に導入する。少しの後、不活性ガスの流量を低減しつつ、クリーニングガスの流量を増加させる。その結果、アプリケータ内を流れプラズマに解離しているクリーニングガスで、アプリケータ72内に支持されたプラズマを提供するクリーニングガスのみ、あるいはNF3のみが要望される特定の例では、安定なプラズマがNF3の流れで形成された後、Ar流量を止めてもよい。マニュアルで調整されたシステムでは典型的には、Arはプラズマの点火のために約1000sccmで導入される。NF3が導入され、次いで、Ar流れが、要望されたAr/NF3比によって調整される。ここにプラズマが点火され、そして、アプリケータ72の中に導入され解離されるクリーニングガスのみで支持され。上記のプロセスが、マニュアルチューン遠隔プラズマシステムでプラズマを点火することを例示していると理解されよう。また、自動で調整する遠隔プラズマシステムを用いて、アプリケータ72内にプラズマを点火するために不活性ガス又は他のプラズマ開始ガスを最初に用いずに、直接クリーニングガスを励起ないし解離することができる。
【0058】
次は、ブロック802に示されるように、クリーニングガスを希釈すべきかどうか決定することである。ここでクリーニングガスの希釈とは、クリーニングガス又は反応種生成ガスの流れに不活性ガスを加えることをいう。ステップ802で指示するように、クリーニングガスは、不活性ガスと協働で又は単独で提供されることができる。クリーニングガスの希釈が要望される場合、次のステップはブロック811に示される。クリーニングガス希釈が要望されない場合は、次のステップはブロック803に示される。
【0059】
クリーニングガスの希釈が要望されない場合、クリーニングガス流量を調整するブロック803まで進む。このステップでは、プラズマ点火の間、クリーニングガス流量を、利用される流量から要望されたクリーニングステップの流量へと調節する。クリーニングガス流量は、例えば、消費されるクリーニングガスの費用、マグネトロン62の電力容量やチャンバからクリーニングされる膜堆積物のタイプによって、変えることができる。マグネトロン62のパワー出力により、クリーニングガス流量を、完全又はほぼ完全に解離できるように制限できることを覚えているべきである。例えば、遠隔プラズマ装置60の定格3500Wのマグネトロン62では、1700sccmの NF3ガス流れの約99%を解離できると考えられる。マグネトロン電力を3500W一定とする場合、ガス流れが1700sccmを超えれば、解離のパーセンテージが低減することになる。所与のクリーニングガス流量に対し、マグネトロン電力出力レベルを低くすれば、解離のパーセンテージが低くなる。例えば、300sccmのNF3流量と500Wのマグネトロン電力では、解離は約95%しかできないが、1500sccmのNF3流量と3200Wのマグネトロン電力では、99%以上の高い解離を生成する。クリーニングガス流量を要望された速度に調整した後に、ブロック804まで進む。
【0060】
クリーニングガスを希釈することが望ましい場合には、クリーニングガスと不活性ガスの混合物が遠隔プラズマアプリケータに提供される。アプリケータ72の中にクリーニングガスだけを流し、不活性ガスをアプリケータ72の下流に導入することにより、クリーニングガス希釈を行うことができる。この場合、クリーニングガス流量に調整を提供するブロック811に示されるように進む。ブロック803に関して述べたように、クリーニングガスを要望された速度に調整することができる。クリーニングガス流量を調整した後、クリーニングガス希釈の次のステップは、要望された速度に不活性ガス流量を調整することである。ブロック811及び812でのクリーニングガスと不活性ガスの調整は、図7のバルブ及びコントローラ80と82によって行われる。当業者には、不活性ガスの流れがクリーニングガスの流れの前に変更されるように、あるいは、これらガス流れをほとんど同時に調整できるよう、この調整のシーケンスを逆にすることができることが理解される。マグネトロン62が3200Wのマイクロ波エネルギをアプリケータ72に届ける本発明の例示的な具体例では、クリーニングガスは、NF3でありそして、不活性ガスはArであり、本発明に従うクリーニングガス希釈のための代表的な流量は、NF3/Ar流量比が1:1又は好ましくは2:1である。本発明の特定の具体例では、Arガス流量は750sccm、NF3流量は1500sccmであり、アプリケータ72に向けられるマイクロ波エネルギは4500Wである。
【0061】
ブロック803に従ってクリーニングガス流量を調整した後、またはブロック811及び812に従ってクリーニングガスと不活性ガスの流れを調整した後、ブロック804に示されるように、次のステップは、処理チャンバに反応種を提供することである。クリーニングガス希釈を用いるかどうかに関係なく、クリーニングガスはアプリケータ72の中を通過しマグネトロン62により発生したマイクロ波エネルギに曝露されれば、クリーニングガスは解離する。十分なマイクロ波エネルギが提供される限り、アプリケータ72に提供されるクリーニングガスは解離され反応種が形成される。例えば、NF3がクリーニングガスに用いられる場合は、アプリケータ72内で起こる解離は、反応性のF、若干のN2と、NF及びF2の痕跡量を生成する。図7のチャンバ100の例示的な具体例を再び参照すれば、アプリケータ72を出た反応種は、供給ライン88の中を通り、分流器90を通過し、そして、チャンバ供給ライン52の中に入る。反応種は、チャンバ供給ライン52から、チャンバガス入口120を介して処理領域107に提供される。
【0062】
図8に再び戻り、次のステップは、ブロック805に示されるように、チャンバ圧力を調整することである。処理領域107内の圧力をモニターする1つの方法は、キャパシタンスマノメータを用いることである。処理領域107内に要望された圧力は、スロットルバルブ/ゲートバルブ組立体135の位置を調整することによって得られ、処理領域107とポンプ140及び150の間のコンダクタンスを増加又は低減する。1T〜4Tの範囲の圧力、好ましくは約1.8T〜3Tの範囲の圧力で、有利な結果が得られる。
【0063】
次は、ブロック806に示されるように、反応種をチャンバ堆積物と反応させこれを取り除くことである。処理領域107に進入する反応種は、処理領域107の中に形成される堆積物と結合して、揮発性の化合物を形成し、これがチャンバから排気される。図7のチャンバ100等の代表的なプラズマ励起堆積リアクタでは、コイル112によって輪郭を描かれる領域の中に、主に堆積物が形成される傾向があるだろう。処理領域107内に行われる堆積プロセスのコイル112の影響の結果、堆積物基板支持体上面126上に最も集中し、基板支持体125及び支持アーム130の側に沿って生じると考えられる。また、チャンバリッド110とチャンバ本体105の内面の上に、堆積物が形成される。処理領域107に進入する反応種は、堆積物と反応して、揮発性の化合物を形成し、処理領域107から排気される。
【0064】
次は、ブロック807に示されるように、ガス流動を修正するかどうかの決定である。
【0065】
別の不活性ガス又はクリーニングガスの流れが要望される場合には、ブロック802に戻る。ブロック802では、希釈を用いるケースの場合のように、クリーニングガス希釈を続けるかどうか決定し、または、希釈されないクリーニングガスを用いたケースの場合のように実行するだろう。クリーニングガス希釈以外の場合にブロック803に従ってなされる調整に基づき、又は、クリーニングガス希釈の場合にブロック811及び812に従ってなされる調整に基づき、この調整された流量で処理チャンバ(ブロック804)に、反応種を提供する。修正されたガス流動は前述の通り使用され、また、チャンバ圧力は、ブロック805で調整することができる。次に、ブロック806に従って反応種が堆積物と反応しこれを取り除くことができる。もう一度、そして、必要な回数だけ、ブロック807の決定を行って、ブロック802に戻り、そして、異なるガス流動とチャンバ圧力に対して上記のステップを繰り返す。
【0066】
ブロック802〜ブロック807の繰返しの数が完了した後、あるいはガス流量調整が要望されない場合、ブロック808である次のブロックまで進む。
【0067】
次のステップは、ブロック808に示されるように、チャンバ圧力が修正されるかどうかについて決定することである。このステップは、チャンバ100内に異なる圧力を与えつつ、同じガス流動がチャンバに提供されるの可能にする。例えば、ブロック807でガス流動を修正しないと決定し、続いて、ブロック808でチャンバ圧力を修正すると決定することにより、以前に決定した反応種の組成となり、あるいは、異なる圧力状態の下で処理領域107に与えられる反応種/不活性ガス混合物となる。例えば、様々な異なるガス流動組合わせを提供しつつ、チャンバを定圧で維持できる(例えば、ブロック805で圧力調整がなされないが、他方でブロック807ではガス流動を調整することを選択する場合)。あるいは、ブロック807でガス流動修正を選択しないがブロック808でチャンバ圧力修正を選択したケースのように、一定のガス流量を維持しつつ、様々な圧力をチャンバ100に用いることができる。また、多数の圧力と多数のガス流動組合わせを用いるクリーニング方法が予想される。上述の通り、圧力が高ければ一般に、ガスの平均自由行程が短くなり、圧力が低ければ一般に、ガスの平均自由行程が長くなる。例えば、堆積物が最も多くまた反応種と堆積物の間の反応が生じうる場合に、初期のクリーニングステップに高い圧力を用いてもよい。クリーニングが進行し、堆積物が減少すれば、滞在時間を増やすため、より低い圧力を用いることができるので、滞在時間が長い反応種と残留堆積物の間の反応の可能性が高められる。また、本発明の方法で考慮すべきは、クリーニングガスの希釈と低いチャンバ圧力を有利に用いて、滞在時間を増やして反応種の再結合を低減することにより、チャンバクリーニングプロセスを改良することである。
【0068】
ブロック807〜808に応じて提供された、望ましいガス流動と圧力の組合わせを行った後、次のステップは、ブロック809に示されるように、チャンバのシーズニングである。タンタル堆積チャンバに関して上記で説明したように、これらステップを行い、処理領域から残留クリーニングガス、反応種、そして、上述のクリーニングプロセスで生成する他の揮発性の化合物取り除いた場合に、多くの堆積プロセスの質と信頼性は改良される。次の処理操作で窒化ケイ素の堆積が含まれる場合には、約1000オングストロームの窒化ケイ素の層が処理領域107内に堆積される。二酸化ケイ素、弗素ドープのケイ酸塩ガラス(FSG)または他の低誘電率膜(すなわち4.0未満の誘電率を有する膜)、リンドープのケイ酸塩ガラス(PSG)または他のプリメタルの誘電体膜の場合は、約1000オングストロームの二酸化ケイ素の層が処理領域107内に堆積する。
【0069】
フローチャート800のブロック810は、チャンバ処理を再開することになる本発明の最後のステップを示す。処理の要求により、所望の場合に、ブロック800のクリーニングプロセスを繰り返してもよい。代表的な半導体製造プロセスのための代表的なクリーニングサイクルは、3〜5枚の基板が処理された後、あるいは、チャンバ100内で処理された基板の上に約3μmの膜が堆積した後、チャンバをクリーニングすることを有する。
【0070】
図8に関する上の記載は、本発明のより完全な理解を続けて提供するためのブロック線図800のステップを示す。当業者は、ステップの多くが同時に又はほぼ同時に行われてもよいことを理解するだろう。フローチャート800の中のその他の小さな逸脱も、本発明の範囲内である。例えば、クリーニングガス希釈を要望する場合に、クリーニングガスの流れを調整する前に不活性ガス流れを調整するように、ステップ811と812を逆に実行することができる。さらに、チャンバ圧力は、クリーニングガス希釈(ブロック802、811、812及び807)を用いるべきかどうかの決定の前に、調整されてもよい(ブロック805及び808)。
【0071】
フローチャート800に示される本発明の方法は、以下の代表的な例を考慮することによって、よりよく理解されることができる。本発明の具体例の中に、処理チャンバは、一定の圧力に維持される一方、クリーニングガスは、本発明に従い、単独で、そしてその後不活性ガスと協働で、提供される。チャンバは、低誘電率(すなわち誘電率4.0未満)の堆積を含む処理操作のために用いることができるものである。このような膜は、弗素ドープのケイ酸塩ガラス(FSG)である。処理チャンバ内に堆積物を形成する処理操作を行い、処理される最後のウエハを取り出した後に、3200Wのプラズマを遠隔プラズマ装置の中に点火することができる。マニュアル調整のマイクロ波発振器が使用される場合は、アルゴン等の不活性ガスを、プラズマ点火のために用いることができる。点火の後、遠隔プラズマ装置にクリーニングガスが提供され、反応種を発生する。この例では、NF3が用いられ、そして、クリーニングガス希釈(ブロック802)は、プロセスのこの部分では用いられない。次に、ブロック803に従い、クリーニングガス流量が、要望された流量に調整される。この例では、NF3は約1500sccmで提供される。NF3が解離し、処理チャンバに反応種を提供する(ブロック804)ので、チャンバ圧力は、約3トールの定圧に維持される(ブロック805)。解離されたクリーニングガスによって提供される反応種は、チャンバ内に形成される堆積物と反応し、揮発性の化合物を形成し、これはチャンバから排気される(ブロック806)。この例では、反応種は、反応性の弗素であり、約1.2オングストローム/分〜0.9オングストローム/分で、FSG堆積物を取り除く。3μmの堆積材料が蓄積された後、チャンバがクリーニングされるプロセスでは、このステップは75〜100秒継続される。
【0072】
この例での次のステップは、ブロック807に応じてのガス流れを修正し、ブロック802に応じてクリーニングガス希釈を用いることである。ブロック811と812に応じて、クリーニングガスと不活性ガスの流れは、完全又はほぼ完全な解離がアプリケータ72内で生じるようなレベルで調整され維持される。この例では、クリーニングガスはNF3、不活性ガスはアルゴンで、これらの比は1:1で与えられ、ここでは、Arを750sccmに調整しつつ、NF3を750sccmに調整できる。あるいは、クリーニングガスと不活性ガスの比を2:1にすることによって有利な結果を得ることもできる。再び、実施例としてNF3とArを用い、Arを500sccm 提供しつつ、NF3を1000sccm 提供するように調整する。比が1:1の実施例と2:1の実施例の両方において、クリーニングガスがNF3又は同様の解離エネルギが必要なその他のガスである場合、アプリケータ72の中の全流量は、1500sccmであり、その場合、マグネトロン62からの出力が3500Wで使用される場合は、クリーニングガスは、完全又はほぼ完全に(即ち約99%)解離すると考えられる。
【0073】
クリーニングガス(この例ではNF3)が処理チャンバ内で解離して、反応種を提供する場合(ブロック804)は、チャンバ圧力は、約3トールの一定の圧力に維持される(ブロック805)。解離されたクリーニングガスによって提供される反応種は、チャンバ内に形成された堆積物と反応して揮発性の化合物を形成し、これはチャンバから排気される(ブロック806)。
【0074】
次に、ブロック806に従って行われた堆積物の除去が完了した後、クリーニングガスと不活性ガスの流れをブロック807と802によって修正し、クリーニングガスと不活性ガスの別の組合わせを提供し、あるいは、単独でクリーニングガスを提供する。この特定の例に従えば、ブロック807と808に従ったガス流動とチャンバ圧力の修正は要望されない。これに替えて、ブロック809に従って、処理操作を再開する前に膜の層を堆積するより、チャンバのシーズニングが行われる。例えば、FSGを堆積するために用いられる処理装置で、約1000オングストロームのSiO2の層を堆積してもよい。この特定の例では、ブロック810に従う次のステップは、処理チャンバでFSG堆積操作を再開することである。
【0075】
本発明の特定の別の具体例では、クリーニング方法は、少なくとも2つの異なる圧力を使用し、クリーニングガスは単独で用い、また、クリーニングガスは不活性ガスによって希釈される。当初、堆積物の量が最も多いときは、高い圧力を用い、その後堆積物の量が減りチャンバ内に分散すれば、低圧のクリーニングへと続く。チャンバは、プリメタルの誘電体の堆積等の処理操作のために用いることができる。このような膜には、リンドープのシリコンガラス(PSG)がある。処理チャンバ内に堆積物を形成する処理操作を行い、処理される最後のウエハを取り出した後に、3200Wのプラズマを遠隔プラズマ装置の中に点火する。先に述べたように、マニュアルで調整されるマイクロ波発振器を使用する場合は、アルゴン等の不活性ガスを用いてプラズマを点火する。点火の後、反応種を発生させるためにクリーニングガスが遠隔プラズマ装置に提供される。この例では、NF3を用い、クリーニングガスの希釈は、プロセスのこの部分では使用されない(ブロック802)。次に、ブロック803に従い、クリーニングのガス流量が、要望された流量に調整される。この例では、NF3は約1500sccmで提供される。NF3が処理チャンバ内で解離して反応種を与える場合(ブロック804)、チャンバ圧力は約3トールの一定の圧力で維持される(ブロック805)。解離されたクリーニングガスによって提供される反応種は、チャンバ内に形成された堆積物と反応して揮発性の化合物を生成し、これはチャンバから排気される(ブロック806)。
【0076】
本発明のこの代表的な遠隔クリーニングプロセスの次のステップは、ブロック807に従ってガス流れを修正し、ブロック802に従ってクリーニングガス希釈を用いることである。ブロック811と812に応じて、クリーニングガス流れと不活性ガス流れが調整される。この例では、クリーニングガスがNF3、不活性ガスがアルゴンであり、NF3が750sccm、Arが750sccmであるので、ガスは1:1の比で提供される。あるいは、クリーニングガスと不活性ガスの比を2:1で提供することによってより良いクリーニング均一性を得ることができ、これは、NF3を1000sccm とArを500sccm、好ましくは NF3を1500sccm とArを750sccmで与えるようガス流れを調整する場合がある。前の例の場合のように、クリーニングガスが完全又はほぼ完全に解離されるレベルで、アプリケータ72の中の全流れとマイクロ波電力が維持される。
【0077】
クリーニングガスと不活性ガスの混合物が処理チャンバ内で解離し反応種を提供すれば(ブロック804)、チャンバ圧力は約1.8トールの圧力に低減される(ブロック805)。反応種は、圧力が低ければ滞在時間が長くなるので、チャンバから排気される揮発性の化合物を形成するためにチャンバ内に形成される残留堆積物と反応する確率を上げる(ブロック806)。
【0078】
ブロック806に従って行われる堆積物の除去が終了した後、処理操作を再開する前にブロック809に従って膜の層を堆積することによって、チャンバはシーズニングされる。例えば、基板が領域107内に存在しない間、PSGの堆積のために用いられる処理装置の中で、約1000オングストロームのSiO2の層を処理領域107内で堆積してもよい。次に、ブロック810に従い、処理チャンバ内で基板上へのPSG膜の堆積を再開する。
【0079】
上記の特定の具体例に加えて、ブロック線図800のステップ群を連続反復する際、様々なガス流量とチャンバ圧力を使用し、あるいは修正してもよいことが予想される。また、ブロック線図800の方法をブロック線図300の方法で使用してもよいことが予想される。このような組み合わせの方法を例示する1つの結果は、ブロック線図800のガス混合とチャンバ圧力調整を、処理リアクタ10内に形成される多数の内部の領域に使用する場合である。
【0080】
さて図9、10と11を参照すれば、図3に示される本発明の方法によって実現される有利なクリーニング速度とクリーニング均一性がよく理解できる。図9、10と11に例示される結果は、数枚の200mmウエハ上に堆積したSiO2膜の初めの厚さと均一性を測定し、次いで、これらのウエハをチャンバ10内の異なるプロセス条件に曝露し、クリーニング速度と均一性について異なるプロセス条件の影響を決定することにより得られたものである。特定のクリーニングプロセス環境への曝露の後、膜厚及び均一性を再び測定し、最初の厚さ及び均一性と比較した。各グラフは、異なる変量を表しており、例えば、図9では様々なAr流量、図10では様々なNF3流量、と図11では様々なチャンバ圧力を表す。
【0081】
図9は、チャンバ圧力とNF3流れを一定にしつつ、アルゴン流量を上げた場合のクリーニング速度と均一性に対する影響を表す。2トールのチャンバ圧力と200sccmのNF3流量を維持しつつ、Ar流れを0から1000sccmに変えた。400sccmの流量では、約7500オングストローム/分という最も高いクリーニング速度と約11%の最も低い均一性が与えられた。Ar流量が400sccm以上に増加し、あるいは、NF3流れがAr流れの増加に伴い希釈されれば、均一性は35%にまで増加したが、クリーニング速度は約4100〜5800オングストローム/分に低下した。Ar流れが400sccm以下に下がれば、クリーニング速度は6000オングストローム/分〜7500オングストローム/分に低下したが、クリーニング均一性は12%〜25%に増加した。
【0082】
図10は、クリーニング速度及び均一性に対するNF3流れの増加の影響を表す。チャンバ圧力を2トル、Ar流量を400sccmに維持しつつ、NF3流量を0sccmから400sccmまで上げた。NF3が200sccmでは、クリーニング速度は約7500オングストローム/分、クリーニング均一性は約10%であった。200sccmより高くなるようNF3流れを上げれば、クリーニング速度は約6000オングストローム/分に下がり、クリーニング均一性は約15%に上がった。200sccmより低くNF3流量を下げれば、クリーニング速度は約3000オングストローム/分まで下がったが、クリーニング均一性は20%〜30%まで上がった。
【0083】
図11は、一定のArとNF3流量に対して、クリーニング速度とクリーン均一性に対するチャンバ圧力の影響を表す。チャンバ圧力が2トールに対して、クリーン均一性は約10%であったが、クリーニング速度は約7500オングストローム/分であった。圧力が2トールより低くなれば、クリーニング均一性は緩やかに上昇するが、クリーニング速度は約3000オングストローム/分に下がった。圧力が2トールより高くなれば、均一性は20%を超えるが、クリーニング速度は約3000オングストローム/分にしかならない。クリーニング速度が高ければ、より高速のクリーンであることを示し、また、低いクリーニング均一性の値が低ければ、クリーニングラジカルがチャンバ内部全体に均一に適用されるので、チャンバ構成要素がオーバーエッチ及び損傷を与えられる可能性が低減されることを示す。このように、図9、10及び11で得られまた例示される結果を検討すれば、アプリケータ72の中で励起され2:1の比でチャンバに提供されるAr/NF3混合物の圧力を2トールとして本発明の方法をチャンバ10に用いれば、あるいは Arが200sccm、NF3が400sccm、マイクロ波電力が1400ワットの特定の具体例を用いれば、最高のクリーニング速度と最小の均一性を有する有利なクリーニングが実現される。
【0084】
図12は、図7のチャンバ100と同様のチャンバ内で行われる試験で得られた代替結果を表す。この試験では、二酸化ケイ素膜が300mmのウエハの上に堆積され、初期の膜の厚さと均一性が測定された。ウエハは、次いでチャンバ100内に置かれ、図8の中に示されるクリーニング方法と同様のクリーニングプロセス条件に曝露された。クリーニングプロセスを行った後に、ウエハをチャンバから取り出し、膜の厚さと均一性を再び測定した。これらのクリーニング後の測定が、図12に例示される。図8の方法を、1:2 のAr/NF3比で、チャンバ100内で行ったが、この具体例では、4500ワットのマイクロ波電力と3トールのチャンバ圧力で、750sccmのAr流れと1500sccm のNF3流れを用いた。図8の方法のこの特定の具体例では、4.34%のクリーニング均一性と、2000オングストローム/分のクリーニング速度が実現された。
【0085】
発明の特定の具体例を示してきたが、更なる修正と改善を行うことは、当業者には可能であろう。従って、本発明は特定の形に限定されず、また特許請求の範囲は、本発明の本質及びその範囲から逸脱せずにその全ての修正をカバーするものであることが理解されることが要望される。
【図面の簡単な説明】
【図1】図1は、本発明の処理システムの概略的な横断面図である。
【図2】図2は、遠隔プラズマアプリケータキャビティの断面図である。
【図3】図3は、本発明の方法を例示するブロック線図である。
【図4】図4は、図1の拡大図Aである。
【図5】図5は、本発明の処理システムの概略的な横断面図である。
【図6】図6は、図4の拡大図Aである。
【図7】図7は、本発明の単一容量の処理チャンバ及び遠隔プラズマ装置の概略的横断面図である。
【図8】図8は、本発明の方法を例示するブロック線図である。
【図9】図9は、クリーニング速度とクリーニング均一性に対するアルゴンフローの影響を例示するグラフである。
【図10】図10は、クリーニング速度とクリーニング均一性に対するNF3フローの影響を例示するグラフである。
【図11】図11は、クリーニング速度と均一性に対するチャンバ圧力の影響を例示するグラフである。
【図12】図12は、本発明に従ったクリーニング方法を受けた300mmウエハの厚さマップである。
【符号の説明】
5…処理システム、10…処理チャンバ、20…リッド、22…本体、28…抵抗加熱基板支持体、29…抵抗ヒータ、32…シャワーヘッド、41…支持体シャフト、42…リフトメカニズム、44…ヒータ制御装置、60…プラズマジェネレータ。
Claims (15)
- 処理チャンバの内面上に形成された堆積物を処理チャンバからクリーニングするための方法であって、前記処理チャンバ内面は、上側領域と下側領域を有し、前記方法は、
(a)反応種を形成するため、不活性ガスとクリーニングガスを備えるガス混合物を前記処理チャンバの外側で解離する操作と、
(b)前記処理チャンバに前記反応種を提供する操作と、
(c)前記処理チャンバ上側領域内で前記反応種を前記堆積物と反応させる操作と、
(d)前記処理チャンバ上側領域内に形成された前記堆積物から揮発性の化合物を生成する操作と、
(e)前記処理チャンバ上側領域内に形成された堆積物から形成された前記揮発性の化合物を、前記処理チャンバから取り除く操作と、
(f)前記処理チャンバ内に配置された基板支持体の上側の前記処理チャンバ上側領域と前記基板支持体の下側の前記処理チャンバ下側領域の間の流体連絡を増加させる操作と、
(g)前記処理チャンバ下側領域内で、前記反応種を前記堆積物と反応させる操作と、
(h)前記処理チャンバ下側領域内に形成された前記堆積物から揮発性の化合物を形成する操作と、
(i)前記処理チャンバ下側領域内に形成された堆積物から形成された前記揮発性の化合物を、前記処理チャンバから取り除く操作と
を有する方法。 - 前記不活性ガスと前記クリーニングガスの比が約2:1である請求項1に記載の方法。
- 反応種を形成するために前記処理チャンバの外側でガス混合物を解離する前記操作が、マイクロ波でエネルギが与えられる遠隔プラズマ装置で行われる請求項1に記載の方法。
- 前記反応種を、前記処理チャンバ上側領域の中に形成された堆積物と反応させる前記操作が第1の圧力で実行され、前記反応種を、前記処理チャンバ下側領域の中に形成された堆積物と反応させる前記操作が、前記第1の圧力とは異なる第2の力で実行される請求項1に記載の方法。
- 前記第1のガスが不活性ガスであり、前記第2のガスがハロゲン化ガスであり、前記処理チャンバ上側領域と前記処理チャンバ下側領域の間の流体連絡を増加させる操作は、前記基板支持体と前記基板支持体に隣接して形成された板のエッジとの間隔を大きくすることを含む請求項2に記載の方法。
- 前記ハロゲン化されたガスがNF3である請求項5に記載の方法。
- 前記マイクロ波電力が、前記ガス混合物の前記クリーニングガスに99%以上の解離を引き起こす請求項3に記載の方法。
- 前記第1の圧力が、前記第2の圧力より大きい請求項4に記載の方法。
- 前記第1の圧力が、前記第2の圧力の約2倍である請求項4に記載の方法。
- 前記第1の圧力が約2トールである請求項4に記載の方法。
- 基板の上にタンタルを備える膜を堆積するために行われた基板処理操作の結果、処理チャンバの内面上に形成されたタンタルを備える堆積物を取り除く方法であって、
前記処理チャンバは上側処理領域と、下側処理領域とを備え、
(a)前記処理チャンバの外の遠隔チャンバ内でプラズマを点火する操作と、
(b)前記クリーニングガスから反応種を発生させるために、前記プラズマで前記クリーニングガスを励起する操作と、
(c)前記反応種に混合させる不活性ガスを提供して、不活性ガスと反応種を備えるガス混合物を形成する操作と、
(d)前記処理チャンバ内の上側処理領域に、前記ガス混合物を提供する操作と、
(e)前記処理チャンバを第1の圧力に維持しつつ、前記上側処理領域内で、前記反応種を、タンタルを備える前記膜と反応させて、揮発性の化合物を形成する操作と、
(f)前記上側処理領域内に形成された前記揮発性の化合物を、前記処理チャンバから取り除く操作と、
(g) 前記処理チャンバ内に配置された基板支持体の上側の前記上側処理領域と前記基板支持体の下側の前記下側処理領域の間の流体連絡を増加させる操作と、
(h)前記処理チャンバ内の下側処理領域に前記ガス混合物を提供する操作と、
(i)前記処理チャンバを第2の圧力に維持しつつ、前記下側処理領域内で、前記反応種を、タンタルを備える前記膜と反応させて揮発性の化合物を形成する操作と、
(j)前記下側処理領域内に形成された前記揮発性の化合物を、前記処理チャンバから取り除く操作と
を有する方法。 - 操作(j)の後に、前記チャンバ内に基板の存在なしに、前記処理チャンバ内で処理操作を行い、前記処理チャンバの内面上にタンタルを備える膜を形成する操作を更に有し、前記処理操作は、前記上側処理領域と下側処理領域から形成された前記揮発性の化合物を前記処理チャンバから取り除いた後に行われる請求項11に記載の方法。
- 前記クリーニングガスがハロゲンであり、前記不活性ガスが、Arと、Xeと、Neと、Heと、N 2 とから成る群から選択される請求項11に記載の方法。
- 前記クリーニングガスが弗素を備える請求項11に記載の方法。
- 前記クリーニングガスがNF3である請求項11に記載の方法。
Applications Claiming Priority (2)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| US09/347,236 US6274058B1 (en) | 1997-07-11 | 1999-07-02 | Remote plasma cleaning method for processing chambers |
| US09/347236 | 1999-07-02 |
Publications (2)
| Publication Number | Publication Date |
|---|---|
| JP2001085418A JP2001085418A (ja) | 2001-03-30 |
| JP4889138B2 true JP4889138B2 (ja) | 2012-03-07 |
Family
ID=23362882
Family Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| JP2000201117A Expired - Lifetime JP4889138B2 (ja) | 1999-07-02 | 2000-07-03 | 処理チャンバのための遠隔式プラズマクリーニング方法 |
Country Status (4)
| Country | Link |
|---|---|
| US (1) | US6274058B1 (ja) |
| EP (1) | EP1065295A1 (ja) |
| JP (1) | JP4889138B2 (ja) |
| KR (1) | KR100743374B1 (ja) |
Families Citing this family (76)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP3381774B2 (ja) * | 1997-12-24 | 2003-03-04 | 東京エレクトロン株式会社 | CVD−Ti膜の成膜方法 |
| US6383300B1 (en) * | 1998-11-27 | 2002-05-07 | Tokyo Electron Ltd. | Heat treatment apparatus and cleaning method of the same |
| US6374831B1 (en) * | 1999-02-04 | 2002-04-23 | Applied Materials, Inc. | Accelerated plasma clean |
| US20020020433A1 (en) * | 1999-12-28 | 2002-02-21 | Asami Suemura | Oxidation apparatus and method of cleaning the same |
| KR100767762B1 (ko) * | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 |
| US6596123B1 (en) * | 2000-01-28 | 2003-07-22 | Applied Materials, Inc. | Method and apparatus for cleaning a semiconductor wafer processing system |
| US6329297B1 (en) * | 2000-04-21 | 2001-12-11 | Applied Materials, Inc. | Dilute remote plasma clean |
| DE10029523A1 (de) * | 2000-06-21 | 2002-01-10 | Messer Griesheim Gmbh | Verfahren und Vorrichtung zum Reinigen eines PVD- oder CVD-Reaktors sowie von Abgasleitungen desselben |
| US6835278B2 (en) * | 2000-07-07 | 2004-12-28 | Mattson Technology Inc. | Systems and methods for remote plasma clean |
| US6569257B1 (en) * | 2000-11-09 | 2003-05-27 | Applied Materials Inc. | Method for cleaning a process chamber |
| JP2002280376A (ja) * | 2001-03-22 | 2002-09-27 | Research Institute Of Innovative Technology For The Earth | Cvd装置のクリーニング方法およびそのためのクリーニング装置 |
| US7159597B2 (en) * | 2001-06-01 | 2007-01-09 | Applied Materials, Inc. | Multistep remote plasma clean process |
| JP4121269B2 (ja) * | 2001-11-27 | 2008-07-23 | 日本エー・エス・エム株式会社 | セルフクリーニングを実行するプラズマcvd装置及び方法 |
| US20030145790A1 (en) * | 2002-02-05 | 2003-08-07 | Hitoshi Sakamoto | Metal film production apparatus and metal film production method |
| US6902629B2 (en) * | 2002-04-12 | 2005-06-07 | Applied Materials, Inc. | Method for cleaning a process chamber |
| DE10229037A1 (de) * | 2002-06-28 | 2004-01-29 | Robert Bosch Gmbh | Vorrichtung und Verfahren zur Erzeugung von Chlortrifluorid und Anlage zur Ätzung von Halbleitersubstraten mit dieser Vorrichtung |
| US7588036B2 (en) * | 2002-07-01 | 2009-09-15 | Applied Materials, Inc. | Chamber clean method using remote and in situ plasma cleaning systems |
| US20040014327A1 (en) * | 2002-07-18 | 2004-01-22 | Bing Ji | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials |
| US7097716B2 (en) * | 2002-10-17 | 2006-08-29 | Applied Materials, Inc. | Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect |
| KR100542740B1 (ko) | 2002-11-11 | 2006-01-11 | 삼성전자주식회사 | 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법 |
| US6802945B2 (en) * | 2003-01-06 | 2004-10-12 | Megic Corporation | Method of metal sputtering for integrated circuit metal routing |
| US6923189B2 (en) * | 2003-01-16 | 2005-08-02 | Applied Materials, Inc. | Cleaning of CVD chambers using remote source with cxfyoz based chemistry |
| US20040211357A1 (en) * | 2003-04-24 | 2004-10-28 | Gadgil Pradad N. | Method of manufacturing a gap-filled structure of a semiconductor device |
| US7723228B2 (en) * | 2003-05-20 | 2010-05-25 | Applied Materials, Inc. | Reduction of hillocks prior to dielectric barrier deposition in Cu damascene |
| US7371427B2 (en) * | 2003-05-20 | 2008-05-13 | Applied Materials, Inc. | Reduction of hillocks prior to dielectric barrier deposition in Cu damascene |
| KR100797498B1 (ko) * | 2003-06-27 | 2008-01-24 | 동경 엘렉트론 주식회사 | 플라즈마 발생 방법, 클리닝 방법 및 기판 처리 방법 |
| JP4495471B2 (ja) | 2004-01-13 | 2010-07-07 | 三星電子株式会社 | エッチング方法 |
| US7288284B2 (en) * | 2004-03-26 | 2007-10-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Post-cleaning chamber seasoning method |
| US20050241669A1 (en) * | 2004-04-29 | 2005-11-03 | Tokyo Electron Limited | Method and system of dry cleaning a processing chamber |
| US20050260354A1 (en) * | 2004-05-20 | 2005-11-24 | Varian Semiconductor Equipment Associates, Inc. | In-situ process chamber preparation methods for plasma ion implantation systems |
| KR100580584B1 (ko) * | 2004-05-21 | 2006-05-16 | 삼성전자주식회사 | 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치 |
| US20050284573A1 (en) * | 2004-06-24 | 2005-12-29 | Egley Fred D | Bare aluminum baffles for resist stripping chambers |
| US20060090773A1 (en) * | 2004-11-04 | 2006-05-04 | Applied Materials, Inc. | Sulfur hexafluoride remote plasma source clean |
| JP4651406B2 (ja) * | 2005-02-16 | 2011-03-16 | キヤノンアネルバ株式会社 | プラズマによるガス分解装置を用いた表面処理方法 |
| US8163087B2 (en) * | 2005-03-31 | 2012-04-24 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
| US20060254613A1 (en) * | 2005-05-16 | 2006-11-16 | Dingjun Wu | Method and process for reactive gas cleaning of tool parts |
| US20060266288A1 (en) * | 2005-05-27 | 2006-11-30 | Applied Materials, Inc. | High plasma utilization for remote plasma clean |
| CN101238540B (zh) * | 2005-06-02 | 2010-12-08 | 应用材料公司 | 用于在氧化物膜中掺入氮的方法和装置 |
| KR101194020B1 (ko) * | 2005-07-08 | 2012-10-24 | 매그나칩 반도체 유한회사 | 반도체 장치 제조 방법 |
| EP1937871A2 (en) * | 2005-10-17 | 2008-07-02 | OC Oerlikon Balzers AG | Cleaning means for large area pecvd devices using a remote plasma source |
| US7662723B2 (en) * | 2005-12-13 | 2010-02-16 | Lam Research Corporation | Methods and apparatus for in-situ substrate processing |
| US7846257B2 (en) * | 2005-12-14 | 2010-12-07 | Tokyo Electron Limited | Method for cleaning substrate processing apparatus, substrate processing apparatus, program and recording medium having program recorded therein |
| EP3269843A1 (en) * | 2006-04-10 | 2018-01-17 | Solvay Fluor GmbH | Etching process |
| US20070266946A1 (en) * | 2006-05-22 | 2007-11-22 | Byung-Chul Choi | Semiconductor device manufacturing apparatus and method of using the same |
| KR100725105B1 (ko) * | 2006-07-12 | 2007-06-04 | 삼성전자주식회사 | 반도체 제조설비의 애플리케이터 |
| US7518092B2 (en) * | 2007-03-15 | 2009-04-14 | Capital Technologies, Inc. | Processing apparatus with an electromagnetic launch |
| US7867921B2 (en) * | 2007-09-07 | 2011-01-11 | Applied Materials, Inc. | Reduction of etch-rate drift in HDP processes |
| US8118946B2 (en) * | 2007-11-30 | 2012-02-21 | Wesley George Lau | Cleaning process residues from substrate processing chamber components |
| US8262800B1 (en) * | 2008-02-12 | 2012-09-11 | Novellus Systems, Inc. | Methods and apparatus for cleaning deposition reactors |
| US8404135B2 (en) * | 2008-08-26 | 2013-03-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Plasma cleaning for process chamber component refurbishment |
| US7967913B2 (en) * | 2008-10-22 | 2011-06-28 | Applied Materials, Inc. | Remote plasma clean process with cycled high and low pressure clean steps |
| KR101559425B1 (ko) * | 2009-01-16 | 2015-10-13 | 삼성전자주식회사 | 반도체 소자의 제조 방법 |
| US8591659B1 (en) * | 2009-01-16 | 2013-11-26 | Novellus Systems, Inc. | Plasma clean method for deposition chamber |
| KR101030997B1 (ko) | 2009-10-16 | 2011-04-25 | 주식회사 아토 | 증착 장치 및 이를 이용한 갭필 방법 |
| KR101794069B1 (ko) * | 2010-05-26 | 2017-12-04 | 삼성전자주식회사 | 반도체 제조설비 및 그의 시즈닝 공정 최적화 방법 |
| WO2012165921A1 (ko) * | 2011-06-03 | 2012-12-06 | 한국기초과학자원연구원 | 의료용 플라즈마 멸균장치 |
| US20140069459A1 (en) * | 2012-09-09 | 2014-03-13 | Novellus Systems, Inc. | Methods and apparatus for cleaning deposition chambers |
| EP2926363B1 (en) * | 2012-11-30 | 2018-05-09 | Kateeva, Inc. | Gas enclosure assembly and system |
| US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
| JP6422262B2 (ja) * | 2013-10-24 | 2018-11-14 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
| US9155184B2 (en) | 2013-11-18 | 2015-10-06 | Applied Materials, Inc. | Plasma generation source employing dielectric conduit assemblies having removable interfaces and related assemblies and methods |
| US10755903B2 (en) * | 2016-01-15 | 2020-08-25 | Applied Materials, Inc. | RPS defect reduction by cyclic clean induced RPS cooling |
| CN107154332B (zh) * | 2016-03-03 | 2019-07-19 | 中微半导体设备(上海)股份有限公司 | 一种等离子体处理装置及方法 |
| US10636628B2 (en) | 2017-09-11 | 2020-04-28 | Applied Materials, Inc. | Method for cleaning a process chamber |
| US10312076B2 (en) | 2017-03-10 | 2019-06-04 | Applied Materials, Inc. | Application of bottom purge to increase clean efficiency |
| US10600624B2 (en) | 2017-03-10 | 2020-03-24 | Applied Materials, Inc. | System and method for substrate processing chambers |
| KR102167894B1 (ko) * | 2019-01-22 | 2020-10-20 | (주)알에프티에스아이 | 오존 생성장치 및 오존셀 세정장치 |
| KR102860882B1 (ko) * | 2019-07-08 | 2025-09-18 | 주성엔지니어링(주) | 기판 처리 장치의 챔버 클리닝 방법 |
| JP2021034515A (ja) * | 2019-08-22 | 2021-03-01 | 東京エレクトロン株式会社 | クリーニング方法及びマイクロ波プラズマ処理装置 |
| KR20210137395A (ko) | 2020-05-07 | 2021-11-17 | 에이에스엠 아이피 홀딩 비.브이. | 불소계 라디칼을 이용하여 반응 챔버의 인시츄 식각을 수행하기 위한 장치 및 방법 |
| JP7454467B2 (ja) * | 2020-08-03 | 2024-03-22 | 株式会社荏原製作所 | 基板処理システム、基板処理システムの制御装置及び基板処理システムの運転方法 |
| US11670492B2 (en) | 2020-10-15 | 2023-06-06 | Applied Materials, Inc. | Chamber configurations and processes for particle control |
| CN112609168B (zh) * | 2020-11-30 | 2023-06-06 | 中威新能源(成都)有限公司 | 一种快速清洗大面积真空腔室内累积薄膜的方法 |
| JP7629813B2 (ja) * | 2021-07-06 | 2025-02-14 | 東京エレクトロン株式会社 | プラズマ処理装置 |
| KR102647683B1 (ko) * | 2021-11-25 | 2024-03-13 | 세메스 주식회사 | 기판 처리 장치 및 이를 이용한 기판 처리 방법 |
| US20240047185A1 (en) * | 2022-08-03 | 2024-02-08 | Applied Materials, Inc. | Shared rps clean and bypass delivery architecture |
Family Cites Families (70)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JPS5782955A (en) | 1980-11-12 | 1982-05-24 | Hitachi Ltd | Microwave plasma generating apparatus |
| JPS59181530A (ja) * | 1983-03-31 | 1984-10-16 | Komatsu Ltd | 半導体製造装置の洗浄方法及び洗浄装置 |
| AU544534B2 (en) | 1983-06-14 | 1985-06-06 | Toyota Jidosha Kabushiki Kaisha | Plasma coating |
| JPS6114726A (ja) | 1984-06-29 | 1986-01-22 | Fujitsu Ltd | 半導体基板の処理方法 |
| US5364519A (en) | 1984-11-30 | 1994-11-15 | Fujitsu Limited | Microwave plasma processing process and apparatus |
| JPH0740566B2 (ja) | 1986-02-04 | 1995-05-01 | 株式会社日立製作所 | プラズマ処理方法及びその装置 |
| US4736304A (en) | 1986-04-07 | 1988-04-05 | Energy Conversion Devices, Inc. | Method and apparatus for operating one or more deposition systems |
| JPS6348832A (ja) | 1986-08-19 | 1988-03-01 | Tokyo Electron Ltd | Cvd装置 |
| JPS63114973A (ja) | 1986-10-31 | 1988-05-19 | Canon Inc | マイクロ波プラズマcvd法による機能性堆積膜の形成装置 |
| DE3739895A1 (de) | 1986-12-01 | 1988-06-16 | Korea Res Inst Chem Tech | Verfahren und vorrichtung zur herstellung hochreinen silicium |
| US4986214A (en) | 1986-12-16 | 1991-01-22 | Mitsubishi Denki Kabushiki Kaisha | Thin film forming apparatus |
| US5158644A (en) | 1986-12-19 | 1992-10-27 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
| KR880013424A (ko) | 1987-04-08 | 1988-11-30 | 미타 가츠시게 | 플라즈머 장치 |
| ATE133006T1 (de) * | 1987-06-26 | 1996-01-15 | Applied Materials Inc | Verfahren zur selbstreinigung einer reaktionskammer |
| DE3876205T2 (de) | 1987-09-30 | 1993-05-27 | Sumitomo Metal Ind | Vorrichtung zur bildung duenner filme. |
| JPH0192375A (ja) | 1987-10-05 | 1989-04-11 | Canon Inc | マイクロ波プラズマcvd法による機能性堆積膜形成装置 |
| JP2662688B2 (ja) | 1987-10-16 | 1997-10-15 | 株式会社 半導体エネルギー研究所 | 被膜作製方法 |
| FR2631258B1 (fr) | 1988-05-10 | 1991-04-05 | Prestations Services Sps | Procede de nettoyage en surface par plasma differe |
| US4996077A (en) | 1988-10-07 | 1991-02-26 | Texas Instruments Incorporated | Distributed ECR remote plasma processing and apparatus |
| JPH02125876A (ja) | 1988-11-01 | 1990-05-14 | Fujitsu Ltd | Cvd装置の排気機構 |
| US5084126A (en) | 1988-12-29 | 1992-01-28 | Texas Instruments Incorporated | Method and apparatus for uniform flow distribution in plasma reactors |
| US5134965A (en) | 1989-06-16 | 1992-08-04 | Hitachi, Ltd. | Processing apparatus and method for plasma processing |
| SE465100B (sv) | 1989-06-30 | 1991-07-22 | Inst Mikroelektronik Im | Foerfarande och anordning foer att i en kallvaeggsreaktor behandla en kiselskiva |
| US4946549A (en) | 1989-10-27 | 1990-08-07 | At&T Bell Laboratories | Method for fabricating or modifying an article comprising the removal of a polymer coating |
| US5211796A (en) | 1990-01-08 | 1993-05-18 | Lst Logic Corporation | Apparatus for performing in-situ etch of CVD chamber |
| JPH03261138A (ja) | 1990-03-09 | 1991-11-21 | Mitsubishi Electric Corp | 半導体装置のクリーニング方法およびクリーニング装置 |
| US5008593A (en) | 1990-07-13 | 1991-04-16 | The United States Of America As Represented By The Secretary Of The Air Force | Coaxial liquid cooling of high power microwave excited plasma UV lamps |
| JPH04100221A (ja) | 1990-08-18 | 1992-04-02 | Fujitsu Ltd | 半導体装置の製造方法 |
| JP3056772B2 (ja) | 1990-08-20 | 2000-06-26 | 株式会社日立製作所 | プラズマの制御方法ならびにプラズマ処理方法およびその装置 |
| US5082517A (en) | 1990-08-23 | 1992-01-21 | Texas Instruments Incorporated | Plasma density controller for semiconductor device processing equipment |
| US5273609A (en) | 1990-09-12 | 1993-12-28 | Texas Instruments Incorporated | Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment |
| US5173641A (en) | 1990-09-14 | 1992-12-22 | Tokyo Electron Limited | Plasma generating apparatus |
| EP0478283B1 (en) | 1990-09-26 | 1996-12-27 | Hitachi, Ltd. | Microwave plasma processing method and apparatus |
| US5111111A (en) | 1990-09-27 | 1992-05-05 | Consortium For Surface Processing, Inc. | Method and apparatus for coupling a microwave source in an electron cyclotron resonance system |
| JPH04137618A (ja) | 1990-09-28 | 1992-05-12 | Fujitsu Ltd | 半導体装置の製造方法 |
| JPH04165075A (ja) | 1990-10-25 | 1992-06-10 | Canon Inc | 化学蒸着装置のクリーニング法 |
| JPH04243121A (ja) * | 1991-01-18 | 1992-08-31 | Fuji Electric Co Ltd | ウエハ処理装置およびそのクリーニング方法 |
| US5217570A (en) | 1991-01-31 | 1993-06-08 | Sony Corporation | Dry etching method |
| JPH04302426A (ja) | 1991-03-29 | 1992-10-26 | Sony Corp | デジタル・エッチング方法 |
| US5234526A (en) | 1991-05-24 | 1993-08-10 | Lam Research Corporation | Window for microwave plasma processing device |
| JPH0590180A (ja) | 1991-07-26 | 1993-04-09 | Fuji Electric Co Ltd | プラズマcvd処理装置のドライクリーニング方法 |
| JP3042127B2 (ja) | 1991-09-02 | 2000-05-15 | 富士電機株式会社 | 酸化シリコン膜の製造方法および製造装置 |
| JP2757618B2 (ja) | 1991-09-27 | 1998-05-25 | 日本電気株式会社 | 半導体装置の製造方法 |
| DE4132561C2 (de) | 1991-09-30 | 1994-08-18 | Siemens Ag | Verfahren zur plasmaunterstützten Scheibenreinigung bei der Herstellung integrierter Halbleiterschaltungen |
| DE4132558C1 (ja) | 1991-09-30 | 1992-12-03 | Secon Halbleiterproduktionsgeraete Ges.M.B.H., Wien, At | |
| US5211995A (en) | 1991-09-30 | 1993-05-18 | Manfred R. Kuehnle | Method of protecting an organic surface by deposition of an inorganic refractory coating thereon |
| US5234529A (en) | 1991-10-10 | 1993-08-10 | Johnson Wayne L | Plasma generating apparatus employing capacitive shielding and process for using such apparatus |
| DE4202862A1 (de) | 1992-02-01 | 1993-08-05 | Gerhard Prof Barich | Verfahren und vorrichtung zur wiederverwertung von sortenreinen oder gemischten, sauberen oder verunreinigten kunststoffabfaellen |
| US5282899A (en) | 1992-06-10 | 1994-02-01 | Ruxam, Inc. | Apparatus for the production of a dissociated atomic particle flow |
| US5306985A (en) | 1992-07-17 | 1994-04-26 | Sematech, Inc. | ECR apparatus with magnetic coil for plasma refractive index control |
| WO1994006150A1 (en) | 1992-09-02 | 1994-03-17 | The University Of North Carolina At Chapel Hill | Method for plasma processing at high pressures |
| US5567241A (en) | 1993-04-30 | 1996-10-22 | Energy Conversion Devices, Inc. | Method and apparatus for the improved microwave deposition of thin films |
| JPH06318580A (ja) | 1993-05-07 | 1994-11-15 | Fuji Electric Co Ltd | ドライクリーニング方法 |
| US5387288A (en) | 1993-05-14 | 1995-02-07 | Modular Process Technology Corp. | Apparatus for depositing diamond and refractory materials comprising rotating antenna |
| IT1261918B (it) | 1993-06-11 | 1996-06-04 | Cetev Cent Tecnolog Vuoto | Struttura per deposizione reattiva di metalli in impianti da vuoto continui e relativo processo. |
| US5413670A (en) | 1993-07-08 | 1995-05-09 | Air Products And Chemicals, Inc. | Method for plasma etching or cleaning with diluted NF3 |
| US5454903A (en) | 1993-10-29 | 1995-10-03 | Applied Materials, Inc. | Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization |
| US5545289A (en) | 1994-02-03 | 1996-08-13 | Applied Materials, Inc. | Passivating, stripping and corrosion inhibition of semiconductor substrates |
| JPH07335563A (ja) * | 1994-06-10 | 1995-12-22 | Mitsubishi Electric Corp | プラズマcvd装置 |
| JPH0831752A (ja) * | 1994-07-15 | 1996-02-02 | Toshiba Corp | Cvd装置の反応室のクリーニング方法およびコーティング方法 |
| EP0697467A1 (en) | 1994-07-21 | 1996-02-21 | Applied Materials, Inc. | Method and apparatus for cleaning a deposition chamber |
| JP3107275B2 (ja) | 1994-08-22 | 2000-11-06 | 東京エレクトロン株式会社 | 半導体製造装置及び半導体製造装置のクリーニング方法 |
| US5503676A (en) | 1994-09-19 | 1996-04-02 | Lam Research Corporation | Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber |
| JP3404434B2 (ja) | 1994-09-19 | 2003-05-06 | 株式会社日立製作所 | マイクロ波プラズマ装置のクリーニング方法 |
| US5451615A (en) | 1994-10-20 | 1995-09-19 | The Dow Chemical Company | Process for preparing polyurethane foam in the presence of a hydrocarbon blowing agent |
| US5558717A (en) | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
| US6114216A (en) * | 1996-11-13 | 2000-09-05 | Applied Materials, Inc. | Methods for shallow trench isolation |
| US5849092A (en) * | 1997-02-25 | 1998-12-15 | Applied Materials, Inc. | Process for chlorine trifluoride chamber cleaning |
| US6125859A (en) * | 1997-03-05 | 2000-10-03 | Applied Materials, Inc. | Method for improved cleaning of substrate processing systems |
| JP3356654B2 (ja) * | 1997-07-14 | 2002-12-16 | 東芝マイクロエレクトロニクス株式会社 | 半導体ウエハ成膜装置 |
-
1999
- 1999-07-02 US US09/347,236 patent/US6274058B1/en not_active Expired - Fee Related
-
2000
- 2000-06-30 EP EP00113942A patent/EP1065295A1/en not_active Withdrawn
- 2000-07-03 JP JP2000201117A patent/JP4889138B2/ja not_active Expired - Lifetime
- 2000-07-03 KR KR1020000037676A patent/KR100743374B1/ko not_active Expired - Fee Related
Also Published As
| Publication number | Publication date |
|---|---|
| EP1065295A1 (en) | 2001-01-03 |
| KR100743374B1 (ko) | 2007-07-30 |
| JP2001085418A (ja) | 2001-03-30 |
| US6274058B1 (en) | 2001-08-14 |
| KR20010049697A (ko) | 2001-06-15 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| JP4889138B2 (ja) | 処理チャンバのための遠隔式プラズマクリーニング方法 | |
| JP4439860B2 (ja) | 半導体基板上への成膜方法 | |
| US6569257B1 (en) | Method for cleaning a process chamber | |
| KR101764166B1 (ko) | 탄소 함유 필름들에 대한 실리콘-선택적 건식 식각 | |
| US6576063B2 (en) | Apparatus and method for use in manufacturing a semiconductor device | |
| US9171734B1 (en) | Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium | |
| JP6568822B2 (ja) | エッチング方法 | |
| KR100853388B1 (ko) | 클리닝 방법 및 기판 처리 방법 | |
| US7097886B2 (en) | Deposition process for high aspect ratio trenches | |
| US6544900B2 (en) | In situ dielectric stacks | |
| US8349401B2 (en) | Film formation apparatus and method for using same | |
| TWI254363B (en) | Chamber cleaning method | |
| US20010029891A1 (en) | Apparatus and method for forming ultra-thin film of semiconductor device | |
| US8297224B2 (en) | Substrate processing apparatus | |
| KR20080050402A (ko) | Nf₃를 사용한 표면 적층물 제거 방법 | |
| EP1168427A1 (en) | Method of plasma depositing silicon nitride | |
| CN113710829A (zh) | 高蚀刻选择性的低应力可灰化碳硬掩模 | |
| KR102590870B1 (ko) | 에칭 방법 | |
| US7030045B2 (en) | Method of fabricating oxides with low defect densities | |
| JP4558285B2 (ja) | プラズマクリーニング方法および基板処理方法 | |
| JP4558284B2 (ja) | プラズマ発生方法、クリーニング方法、基板処理方法、およびプラズマ発生装置 | |
| JP4059792B2 (ja) | 半導体製造方法 | |
| US20210050186A1 (en) | Peald titanium nitride with direct microwave plasma | |
| KR20220029478A (ko) | 기판 처리 방법 및 플라즈마 처리 장치 | |
| TW202445675A (zh) | 第一晶圓效應之減緩 |
Legal Events
| Date | Code | Title | Description |
|---|---|---|---|
| A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20070629 |
|
| A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20100517 |
|
| A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20100525 |
|
| A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20100825 |
|
| RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20101130 |
|
| RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20101210 |
|
| A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20110426 |
|
| A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20110722 |
|
| A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20110727 |
|
| A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20110819 |
|
| A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20110824 |
|
| A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110920 |
|
| TRDD | Decision of grant or rejection written | ||
| A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20111129 |
|
| A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
| A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20111213 |
|
| R150 | Certificate of patent or registration of utility model |
Ref document number: 4889138 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
| FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20141222 Year of fee payment: 3 |
|
| R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
| R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
| R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
| R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
| R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
| R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
| EXPY | Cancellation because of completion of term |