KR100561848B1 - 헬리컬 공진기형 플라즈마 처리 장치 - Google Patents
헬리컬 공진기형 플라즈마 처리 장치 Download PDFInfo
- Publication number
- KR100561848B1 KR100561848B1 KR1020030077762A KR20030077762A KR100561848B1 KR 100561848 B1 KR100561848 B1 KR 100561848B1 KR 1020030077762 A KR1020030077762 A KR 1020030077762A KR 20030077762 A KR20030077762 A KR 20030077762A KR 100561848 B1 KR100561848 B1 KR 100561848B1
- Authority
- KR
- South Korea
- Prior art keywords
- processing apparatus
- plasma processing
- process chamber
- plasma
- tube
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32697—Electrostatic control
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
- H01J37/3211—Antennas, e.g. particular shapes of coils
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma Technology (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
Description
Claims (39)
- 처리 기판을 지지하는 기판 홀더를 가진 공정 챔버;상기 공정 챔버 내부와 연통되도록 상기 공정 챔버의 상부에 설치되는 것으로, 내부관과 상기 내부관을 둘러싸는 외부관으로 이루어진 2중 관 형태를 가지며, 상기 외부관에 상기 내부관과 외부관 사이의 공간에 플라즈마 소스가스를 공급하기 위한 소스가스 공급구가 형성된 유전체 관;상기 유전체 관의 외부관 둘레에 감겨진 헬릭스 코일; 및상기 헬릭스 코일에 RF 전력을 공급하기 위한 RF 전원;을 구비하는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 1항에 있어서,상기 유전체 관의 내부에 설치되어 상기 유전체 관 내부에 생성된 플라즈마의 전위를 제어하기 위한 제어 전극과, 상기 제어 전극에 소정의 전위를 인가하기 위한 가변 DC 전원을 더 구비하는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 2항에 있어서,상기 제어 전극은 상기 내부관의 상단부와 상기 외부관의 상단부 사이에 배치된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 3항에 있어서,상기 소스가스 공급구는 상기 외부관의 상단부에 형성되고, 상기 제어 전극은 상기 소스가스 공급구의 아래쪽에 배치되며 플라즈마 소스가스가 통과할 수 있도록 다수의 구멍을 가진 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 4항에 있어서,상기 제어 전극은 메쉬 형상을 가진 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 1항 또는 제 2항에 있어서,상기 유전체 관은 석영으로 이루어진 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 1항 또는 제 2항에 있어서,상기 유전체 관의 하단부에는 다수의 구멍이 형성된 플라즈마 분배판이 배치된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 7항에 있어서,상기 플라즈마 분배판은 상기 유전체 관의 내부관과 외부관 사이의 공간에 대응되는 링 형상을 가진 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 1항 또는 제 2항에 있어서,상기 헬릭스 코일의 일단은 접지되고 타단은 전기적으로 개방되며, 개방된 상기 타단은 세라믹으로 감싸인 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 1항 또는 제 2항에 있어서,상기 헬릭스 코일에는 다수의 탭이 서로 다른 위치에 마련되고, 상기 다수의 탭과 상기 RF 전원 사이에는 스위치가 마련되어, 상기 다수의 탭에 택일적으로 RF 전력이 인가될 수 있도록 된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 10항에 있어서,상기 다수의 탭은 상기 헬릭스 코일의 두 개의 턴마다 하나씩 마련되는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 1항 또는 제 2항에 있어서,상기 헬릭스 코일은 사각형의 단면 형상을 가진 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 1항 또는 제 2항에 있어서,상기 헬릭스 코일의 둘레에는 금속 실린더가 설치된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 13항에 있어서,상기 금속 실린더는 구리로 제조된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 13항에 있어서,상기 금속 실린더의 내부에는 상기 헬릭스 코일에서 발생된 열을 외부로 발산시키기 위한 방열 팬이 설치되고, 상기 금속 실린더의 덮개에는 다수의 공기 배출구가 형성된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 15항에 있어서,상기 방열 팬은 상기 금속 실린더의 덮개에 지지되는 것을 특징으로 하는 헬 리컬 공진기형 플라즈마 처리 장치.
- 제 1항 또는 제 2항에 있어서,상기 공정 챔버의 내부에 그 내주면을 따라 소정 간격을 두고 배치된 다수의 마그네트를 더 구비하는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 17항에 있어서,상기 마그네트는 영구자석인 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 17항에 있어서,상기 공정 챔버의 내부에는 그 내주면 가까이에 상기 다수의 마그네트를 지지하기 위한 원통 형상의 마그네트 지지부재가 설치된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 19항에 있어서,상기 마그네트 지지부재에는 상기 다수의 마그네트가 각각 끼워지는 다수의 슬롯이 형성된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 20항에 있어서,상기 다수의 슬롯은 2열로 배열된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 19항에 있어서,상기 마그네트 지지부재에는 상기 마그네트를 냉각시키기 위한 냉각 라인이 마련된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 22항에 있어서,상기 냉각 라인은 상기 지지부재의 가장자리 부위를 따라 배치된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 19항에 있어서,상기 마그네트 지지부재의 내측에는 플라즈마로부터 상기 마그네트를 보호하기 위한 원통 형상의 보호부재가 설치된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 1항 또는 제 2항에 있어서,상기 공정 챔버 내부에 기판 처리를 위한 공정가스를 주입하기 위한 공정가스 주입 유닛을 더 구비하는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 25항에 있어서, 상기 공정가스 주입 유닛은,상기 공정 챔버의 벽을 관통하여 설치되는 가스 유입구와;상기 공정 챔버의 내부에 설치되며, 상기 가스 유입구를 통해 유입된 공정가스를 상기 공정 챔버 내부로 분배하여 주입하는 다수의 가스 분배구를 가진 링 형상의 인젝터;를 구비하는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 1항 또는 제 2항에 있어서,상기 RF 전원은 정합회로를 통해 상기 헬릭스 코일에 전기적으로 연결되는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 1항 또는 제 2항에 있어서,상기 기판 홀더에는 바이어스 전원이 연결되는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 28항에 있어서,상기 바이어스 전원은 RF 전원이고, 상기 RF 전원은 정합회로를 통해 상기 기판 홀더에 전기적으로 연결되는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 처리 기판을 지지하는 기판 홀더를 가진 공정 챔버;상기 공정 챔버 내부와 연통되도록 상기 공정 챔버의 상부에 설치되는 것으로, 그 내부 공간에 플라즈마 소스가스를 공급하기 위한 소스가스 공급구를 가진 유전체 관;상기 유전체 관의 외부관 둘레에 감겨진 헬릭스 코일;상기 헬릭스 코일에 RF 전력을 공급하기 위한 RF 전원;상기 유전체 관의 내부에 설치되어 상기 유전체 관 내부에 생성된 플라즈마의 전위를 제어하기 위한 제어 전극; 및상기 제어 전극에 소정의 전위를 인가하기 위한 가변 DC 전원;을 구비하는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 30항에 있어서,상기 소스가스 공급구는 상기 유전체 관의 상단부에 형성되고, 상기 제어 전극은 상기 소스가스 공급구의 아래쪽에 배치되며 플라즈마 소스가스가 통과할 수 있도록 다수의 구멍을 가진 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 31항에 있어서,상기 제어 전극은 메쉬 형상을 가진 것을 특징으로 하는 헬리컬 공진기형 플 라즈마 처리 장치.
- 제 30항에 있어서,상기 기판 홀더에는 바이어스 전원이 연결되는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 30항에 있어서,상기 헬릭스 코일에는 다수의 탭이 서로 다른 위치에 마련되고, 상기 다수의 탭과 상기 RF 전원 사이에는 스위치가 마련되어, 상기 다수의 탭에 택일적으로 RF 전력이 인가될 수 있도록 된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 30항에 있어서,상기 헬릭스 코일은 사각형의 단면 형상을 가진 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 30항에 있어서,상기 헬릭스 코일의 둘레에는 금속 실린더가 설치된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 36항에 있어서,상기 금속 실린더의 내부에는 상기 헬릭스 코일에서 발생된 열을 외부로 발산시키기 위한 방열 팬이 설치되고, 상기 금속 실린더의 덮개에는 다수의 공기 배출구가 형성된 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 30항에 있어서,상기 공정 챔버의 내부에 그 내주면을 따라 소정 간격을 두고 배치된 다수의 마그네트를 더 구비하는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
- 제 30항에 있어서,상기 공정 챔버 내부에 기판 처리를 위한 공정가스를 주입하기 위한 공정가스 주입 유닛을 더 구비하는 것을 특징으로 하는 헬리컬 공진기형 플라즈마 처리 장치.
Priority Applications (5)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| KR1020030077762A KR100561848B1 (ko) | 2003-11-04 | 2003-11-04 | 헬리컬 공진기형 플라즈마 처리 장치 |
| EP04256330A EP1530230A3 (en) | 2003-11-04 | 2004-10-14 | Helical resonator type plasma processing apparatus |
| US10/978,391 US20050093460A1 (en) | 2003-11-04 | 2004-11-02 | Helical resonator type plasma processing apparatus |
| JP2004320539A JP4216243B2 (ja) | 2003-11-04 | 2004-11-04 | ヘリカル共振器型のプラズマ処理装置 |
| CNB2004100897723A CN100423196C (zh) | 2003-11-04 | 2004-11-04 | 螺旋谐振器型等离子体处理设备 |
Applications Claiming Priority (1)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| KR1020030077762A KR100561848B1 (ko) | 2003-11-04 | 2003-11-04 | 헬리컬 공진기형 플라즈마 처리 장치 |
Publications (2)
| Publication Number | Publication Date |
|---|---|
| KR20050042701A KR20050042701A (ko) | 2005-05-10 |
| KR100561848B1 true KR100561848B1 (ko) | 2006-03-16 |
Family
ID=34431719
Family Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| KR1020030077762A Expired - Fee Related KR100561848B1 (ko) | 2003-11-04 | 2003-11-04 | 헬리컬 공진기형 플라즈마 처리 장치 |
Country Status (5)
| Country | Link |
|---|---|
| US (1) | US20050093460A1 (ko) |
| EP (1) | EP1530230A3 (ko) |
| JP (1) | JP4216243B2 (ko) |
| KR (1) | KR100561848B1 (ko) |
| CN (1) | CN100423196C (ko) |
Cited By (1)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| KR101013729B1 (ko) | 2008-11-24 | 2011-02-14 | 주식회사 디엠에스 | 콘 형상의 3차원 헬릭스 인덕티브 코일을 가지는 플라즈마 반응장치 |
Families Citing this family (178)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP4567979B2 (ja) * | 2004-01-15 | 2010-10-27 | キヤノンアネルバ株式会社 | プラズマ処理システム及びプラズマ処理方法 |
| WO2007038967A1 (en) * | 2005-10-05 | 2007-04-12 | Pva Tepla Ag | Down-stream plasma etching with deflectable plasma beam |
| US9137884B2 (en) * | 2006-11-29 | 2015-09-15 | Lam Research Corporation | Apparatus and method for plasma processing |
| US20090014423A1 (en) * | 2007-07-10 | 2009-01-15 | Xuegeng Li | Concentric flow-through plasma reactor and methods therefor |
| US20090056877A1 (en) * | 2007-08-31 | 2009-03-05 | Tokyo Electron Limited | Plasma processing apparatus |
| JP5099101B2 (ja) | 2009-01-23 | 2012-12-12 | 東京エレクトロン株式会社 | プラズマ処理装置 |
| US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
| KR100989316B1 (ko) | 2010-06-25 | 2010-10-25 | 이창경 | 플라즈마-강화 화학 증착장치 |
| US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
| US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
| US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
| KR101229793B1 (ko) * | 2011-07-07 | 2013-02-08 | 참엔지니어링(주) | 기판 처리 장치 |
| TWI522489B (zh) * | 2011-07-26 | 2016-02-21 | Nat Applied Res Laboratories | Plasma secondary atomic layer deposition system |
| US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
| JP5989119B2 (ja) * | 2011-08-19 | 2016-09-07 | マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. | プラズマリアクタ及びプラズマを生成する方法 |
| US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
| CN102395243A (zh) * | 2011-10-19 | 2012-03-28 | 中微半导体设备(上海)有限公司 | 改进等离子均匀性和效率的电感耦合等离子装置 |
| CN103094038B (zh) * | 2011-10-27 | 2017-01-11 | 松下知识产权经营株式会社 | 等离子体处理装置以及等离子体处理方法 |
| JP5830651B2 (ja) * | 2012-03-02 | 2015-12-09 | パナソニックIpマネジメント株式会社 | プラズマ処理装置及び方法 |
| US10115565B2 (en) * | 2012-03-02 | 2018-10-30 | Panasonic Intellectual Property Management Co., Ltd. | Plasma processing apparatus and plasma processing method |
| US20130240147A1 (en) * | 2012-03-19 | 2013-09-19 | Sang Ki Nam | Methods and apparatus for selectively modulating azimuthal non-uniformity in a plasma processing system |
| US9867238B2 (en) * | 2012-04-26 | 2018-01-09 | Applied Materials, Inc. | Apparatus for treating an exhaust gas in a foreline |
| KR101412643B1 (ko) * | 2012-06-29 | 2014-07-08 | 주식회사 티지오테크 | 복수의 가스를 공급하기 위한 가스 공급부 및 그 제조방법 |
| US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
| CN102781155B (zh) * | 2012-07-23 | 2015-06-24 | 西安电子科技大学 | 带冷却电极的大面积均匀高密度等离子体产生系统 |
| US9373517B2 (en) * | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
| US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
| US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
| US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
| US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
| US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
| CN103068137A (zh) * | 2012-11-21 | 2013-04-24 | 中国科学院微电子研究所 | 一种进气结构及等离子体工艺设备 |
| US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
| US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
| US20140166618A1 (en) * | 2012-12-14 | 2014-06-19 | The Penn State Research Foundation | Ultra-high speed anisotropic reactive ion etching |
| US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
| US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
| CN103108482B (zh) * | 2013-01-11 | 2015-08-05 | 哈尔滨工业大学 | 一种等离子体射流密度大范围调节器 |
| CN103052249A (zh) * | 2013-01-11 | 2013-04-17 | 哈尔滨工业大学 | 一种射流等离子体密度分布调节器 |
| US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
| US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
| US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
| US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
| US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
| US8907300B2 (en) | 2013-03-14 | 2014-12-09 | Varian Semiconductor Equipment Associates, Inc. | System and method for plasma control using boundary electrode |
| US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
| US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
| US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
| US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
| US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
| US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
| US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
| US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
| US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
| US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
| US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
| US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
| US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
| US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
| US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
| US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
| US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
| US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
| US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
| US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
| US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
| US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
| US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
| US10249475B2 (en) * | 2014-04-01 | 2019-04-02 | Applied Materials, Inc. | Cooling mechanism utlized in a plasma reactor with enhanced temperature regulation |
| KR101712263B1 (ko) * | 2014-04-22 | 2017-03-03 | 김일욱 | 헬리컬공명플라즈마 안테나 및 이를 구비하는 플라즈마 발생 장치 |
| CN103974517A (zh) * | 2014-05-22 | 2014-08-06 | 哈尔滨工业大学 | 高频电磁场条件下的束缚等离子体聚集器及采用该聚集器实现的聚集方法 |
| US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
| US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
| US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
| US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
| US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
| US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
| US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
| US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
| US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
| US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
| US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
| US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
| JP6473889B2 (ja) * | 2014-09-19 | 2019-02-27 | パナソニックIpマネジメント株式会社 | プラズマ処理装置及び方法、電子デバイスの製造方法 |
| US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
| US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
| US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
| US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
| US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
| US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
| US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
| US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
| US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
| US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
| JP6064176B2 (ja) * | 2015-08-05 | 2017-01-25 | パナソニックIpマネジメント株式会社 | 誘導結合型プラズマ処理装置及び方法 |
| US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
| US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
| US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
| US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
| US9972511B2 (en) * | 2015-10-01 | 2018-05-15 | Applied Materials, Inc. | Substrate processing apparatus and methods |
| CN106653549B (zh) * | 2015-11-03 | 2020-02-11 | 中微半导体设备(上海)股份有限公司 | 一种半导体加工设备 |
| JP7166759B2 (ja) | 2015-12-04 | 2022-11-08 | アプライド マテリアルズ インコーポレイテッド | Hdp-cvdチャンバのアーク発生を防止するための高度なコーティング方法および材料 |
| KR20170123740A (ko) * | 2016-04-29 | 2017-11-09 | 피에스케이 주식회사 | 기판 처리 장치 및 기판 처리 방법 |
| US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
| US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
| US10128083B2 (en) * | 2016-06-01 | 2018-11-13 | Vebco Instruments Inc. | Ion sources and methods for generating ion beams with controllable ion current density distributions over large treatment areas |
| US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
| US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
| US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
| US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
| US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
| US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
| US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
| US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
| US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
| US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
| US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
| US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
| US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
| US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
| US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
| US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
| US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
| US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
| WO2018161511A1 (zh) * | 2017-03-09 | 2018-09-13 | 北京北方华创微电子装备有限公司 | 反应腔室的磁场产生机构和反应腔室 |
| US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
| US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
| US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
| US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
| JP7176860B6 (ja) | 2017-05-17 | 2022-12-16 | アプライド マテリアルズ インコーポレイテッド | 前駆体の流れを改善する半導体処理チャンバ |
| US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
| US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
| US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
| US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
| US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
| US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
| US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
| US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
| US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
| US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
| KR102432857B1 (ko) * | 2017-09-01 | 2022-08-16 | 삼성전자주식회사 | 플라즈마 처리 장치 및 이를 이용한 반도체 소자의 제조 방법 |
| US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
| US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
| US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
| US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
| US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
| US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
| US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
| US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
| TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
| US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
| US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
| US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
| US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
| US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
| US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
| US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
| US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
| US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
| US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
| CN108770173B (zh) * | 2018-07-27 | 2020-11-20 | 上海工程技术大学 | 一种等离子体射流产生装置 |
| US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
| US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
| US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
| US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
| US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
| US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
| US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
| US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
| US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
| KR20230048543A (ko) | 2020-08-28 | 2023-04-11 | 매슨 테크놀로지 인크 | 이동가능한 인서트를 갖는 플라즈마 스트립 툴 |
| CN115602406A (zh) * | 2021-07-09 | 2023-01-13 | 北京北方华创微电子装备有限公司(Cn) | 用于产生等离子体的线圈装置及半导体工艺设备 |
| KR20230056456A (ko) * | 2021-10-20 | 2023-04-27 | 삼성전자주식회사 | 플라즈마 생성기, 플라즈마 처리 장치 및 이를 이용한 반도체 소자 제조 방법 |
| KR102396669B1 (ko) * | 2021-12-20 | 2022-05-12 | 주식회사 에이치피에스피 | 반도체 챔버의 공정 전과 공정 후 잔류 가스 검출 장치 |
| KR102444786B1 (ko) * | 2021-12-23 | 2022-09-19 | 주식회사 에이치피에스피 | 냉각 효율을 향상시키는 고압챔버 |
| KR102441994B1 (ko) * | 2021-12-27 | 2022-09-08 | 주식회사 에이치피에스피 | 고속 냉각 고압 챔버 |
| CN114899074A (zh) * | 2022-07-07 | 2022-08-12 | 北京芯士联半导体科技有限公司 | 等离子体处理装置 |
| CN115346852B (zh) * | 2022-08-12 | 2025-09-19 | 中核四0四有限公司 | 一种远程等离子体源发生装置 |
Citations (5)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US5385624A (en) * | 1990-11-30 | 1995-01-31 | Tokyo Electron Limited | Apparatus and method for treating substrates |
| US5648701A (en) * | 1992-09-01 | 1997-07-15 | The University Of North Carolina At Chapel Hill | Electrode designs for high pressure magnetically assisted inductively coupled plasmas |
| KR20000055919A (ko) * | 1999-02-11 | 2000-09-15 | 윤종용 | 박막 형성 방법 및 장치 |
| US6392351B1 (en) * | 1999-05-03 | 2002-05-21 | Evgeny V. Shun'ko | Inductive RF plasma source with external discharge bridge |
| KR20050035708A (ko) * | 2003-10-14 | 2005-04-19 | 주식회사 뉴파워 프라즈마 | 다중 방전관 브리지를 구비한 유도 플라즈마 챔버 |
Family Cites Families (36)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US3522609A (en) * | 1968-07-23 | 1970-08-04 | Robert Ellis | Airborne antenna coupled to adjustable helical counterpoise |
| US4035604A (en) * | 1973-01-17 | 1977-07-12 | Rolls-Royce (1971) Limited | Methods and apparatus for finishing articles |
| US5057809A (en) * | 1989-12-26 | 1991-10-15 | Advanced Electronics, Inc. | Variable inductance RF coil assembly |
| JPH04120732A (ja) * | 1990-09-12 | 1992-04-21 | Hitachi Ltd | 固体素子及びその製造方法 |
| US5120908A (en) * | 1990-11-01 | 1992-06-09 | Gazelle Graphic Systems Inc. | Electromagnetic position transducer |
| US5304282A (en) * | 1991-04-17 | 1994-04-19 | Flamm Daniel L | Processes depending on plasma discharges sustained in a helical resonator |
| JP2989063B2 (ja) * | 1991-12-12 | 1999-12-13 | キヤノン株式会社 | 薄膜形成装置および薄膜形成方法 |
| JP3288490B2 (ja) * | 1993-07-09 | 2002-06-04 | 富士通株式会社 | 半導体装置の製造方法及び半導体装置の製造装置 |
| KR100276736B1 (ko) * | 1993-10-20 | 2001-03-02 | 히가시 데쓰로 | 플라즈마 처리장치 |
| US5413821A (en) * | 1994-07-12 | 1995-05-09 | Iowa State University Research Foundation, Inc. | Process for depositing Cr-bearing layer |
| US5540824A (en) * | 1994-07-18 | 1996-07-30 | Applied Materials | Plasma reactor with multi-section RF coil and isolated conducting lid |
| US5744049A (en) * | 1994-07-18 | 1998-04-28 | Applied Materials, Inc. | Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same |
| US5609921A (en) * | 1994-08-26 | 1997-03-11 | Universite De Sherbrooke | Suspension plasma spray |
| JP3150058B2 (ja) * | 1994-12-05 | 2001-03-26 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
| US5928427A (en) * | 1994-12-16 | 1999-07-27 | Hwang; Chul-Ju | Apparatus for low pressure chemical vapor deposition |
| JPH0922796A (ja) * | 1995-07-07 | 1997-01-21 | Nippon Telegr & Teleph Corp <Ntt> | ドライエッチング装置 |
| JP3328498B2 (ja) * | 1996-02-16 | 2002-09-24 | 株式会社荏原製作所 | 高速原子線源 |
| CN1164125A (zh) * | 1996-02-20 | 1997-11-05 | 株式会社日立制作所 | 等离子体处理方法和装置 |
| US5944899A (en) * | 1996-08-22 | 1999-08-31 | Applied Materials, Inc. | Inductively coupled plasma processing chamber |
| JP3317209B2 (ja) * | 1997-08-12 | 2002-08-26 | 東京エレクトロンエイ・ティー株式会社 | プラズマ処理装置及びプラズマ処理方法 |
| US5903106A (en) * | 1997-11-17 | 1999-05-11 | Wj Semiconductor Equipment Group, Inc. | Plasma generating apparatus having an electrostatic shield |
| US6715441B2 (en) * | 1997-12-31 | 2004-04-06 | Plasma Optical Fibre B.V. | PCVD apparatus and a method of manufacturing an optical fiber, a preform rod and a jacket tube as well as the optical fiber manufactured therewith |
| US6132552A (en) * | 1998-02-19 | 2000-10-17 | Micron Technology, Inc. | Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor |
| US6447637B1 (en) * | 1999-07-12 | 2002-09-10 | Applied Materials Inc. | Process chamber having a voltage distribution electrode |
| CN1241316C (zh) * | 1999-07-13 | 2006-02-08 | 东京电子株式会社 | 产生感性耦合的等离子的射频电源 |
| US6287643B1 (en) | 1999-09-30 | 2001-09-11 | Novellus Systems, Inc. | Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor |
| TW578448B (en) * | 2000-02-15 | 2004-03-01 | Tokyo Electron Ltd | Active control of electron temperature in an electrostatically shielded radio frequency plasma source |
| US7067034B2 (en) * | 2000-03-27 | 2006-06-27 | Lam Research Corporation | Method and apparatus for plasma forming inner magnetic bucket to control a volume of a plasma |
| US6863835B1 (en) * | 2000-04-25 | 2005-03-08 | James D. Carducci | Magnetic barrier for plasma in chamber exhaust |
| US6632322B1 (en) * | 2000-06-30 | 2003-10-14 | Lam Research Corporation | Switched uniformity control |
| US7591957B2 (en) * | 2001-01-30 | 2009-09-22 | Rapt Industries, Inc. | Method for atmospheric pressure reactive atom plasma processing for surface modification |
| JP2003033647A (ja) | 2001-07-23 | 2003-02-04 | Tokyo Ohka Kogyo Co Ltd | プラズマ処理装置 |
| US6660177B2 (en) | 2001-11-07 | 2003-12-09 | Rapt Industries Inc. | Apparatus and method for reactive atom plasma processing for material deposition |
| KR100446619B1 (ko) * | 2001-12-14 | 2004-09-04 | 삼성전자주식회사 | 유도 결합 플라즈마 장치 |
| US7127294B1 (en) * | 2002-12-18 | 2006-10-24 | Nanoset Llc | Magnetically shielded assembly |
| CA2512313A1 (en) * | 2004-07-20 | 2006-01-20 | E.I. Dupont De Nemours And Company | Apparatus for making metal oxide nanopowder |
-
2003
- 2003-11-04 KR KR1020030077762A patent/KR100561848B1/ko not_active Expired - Fee Related
-
2004
- 2004-10-14 EP EP04256330A patent/EP1530230A3/en not_active Withdrawn
- 2004-11-02 US US10/978,391 patent/US20050093460A1/en not_active Abandoned
- 2004-11-04 CN CNB2004100897723A patent/CN100423196C/zh not_active Expired - Fee Related
- 2004-11-04 JP JP2004320539A patent/JP4216243B2/ja not_active Expired - Fee Related
Patent Citations (5)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US5385624A (en) * | 1990-11-30 | 1995-01-31 | Tokyo Electron Limited | Apparatus and method for treating substrates |
| US5648701A (en) * | 1992-09-01 | 1997-07-15 | The University Of North Carolina At Chapel Hill | Electrode designs for high pressure magnetically assisted inductively coupled plasmas |
| KR20000055919A (ko) * | 1999-02-11 | 2000-09-15 | 윤종용 | 박막 형성 방법 및 장치 |
| US6392351B1 (en) * | 1999-05-03 | 2002-05-21 | Evgeny V. Shun'ko | Inductive RF plasma source with external discharge bridge |
| KR20050035708A (ko) * | 2003-10-14 | 2005-04-19 | 주식회사 뉴파워 프라즈마 | 다중 방전관 브리지를 구비한 유도 플라즈마 챔버 |
Cited By (1)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| KR101013729B1 (ko) | 2008-11-24 | 2011-02-14 | 주식회사 디엠에스 | 콘 형상의 3차원 헬릭스 인덕티브 코일을 가지는 플라즈마 반응장치 |
Also Published As
| Publication number | Publication date |
|---|---|
| EP1530230A2 (en) | 2005-05-11 |
| US20050093460A1 (en) | 2005-05-05 |
| CN100423196C (zh) | 2008-10-01 |
| JP4216243B2 (ja) | 2009-01-28 |
| KR20050042701A (ko) | 2005-05-10 |
| CN1614746A (zh) | 2005-05-11 |
| JP2005142568A (ja) | 2005-06-02 |
| EP1530230A3 (en) | 2006-06-07 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| KR100561848B1 (ko) | 헬리컬 공진기형 플라즈마 처리 장치 | |
| US11450509B2 (en) | Inductive plasma source with metallic shower head using b-field concentrator | |
| KR100964398B1 (ko) | 유도결합형 안테나 및 이를 채용한 플라즈마 처리장치 | |
| TWI720010B (zh) | 利用二次電漿佈植的電漿蝕刻系統及方法 | |
| KR101980203B1 (ko) | 지지 유닛 및 그를 포함하는 기판 처리 장치 | |
| US9997332B2 (en) | Plasma processing apparatus and plasma processing method | |
| JP4995907B2 (ja) | プラズマを閉じ込めるための装置、プラズマ処理装置及び半導体基板の処理方法 | |
| KR100486712B1 (ko) | 복층 코일 안테나를 구비한 유도결합 플라즈마 발생장치 | |
| US5904780A (en) | Plasma processing apparatus | |
| KR100726381B1 (ko) | 반도체 처리용 가스 분배 장치 | |
| KR200478935Y1 (ko) | 플라즈마 처리 챔버를 위한 c-형상 한정 링 | |
| JP2005528790A (ja) | プラズマエッチングリアクタ用のカソードペデスタル | |
| JP2005019968A (ja) | 高密度プラズマ処理装置 | |
| JP2005527119A (ja) | 磁気プラズマ制御を伴う容量結合プラズマリアクタ | |
| TW201941354A (zh) | 用於基板支撐件的處理套組 | |
| US7767055B2 (en) | Capacitive coupling plasma processing apparatus | |
| KR101232198B1 (ko) | 플라스마 발생 유닛 및 이를 포함하는 기판 처리 장치 및 방법 | |
| JP2021019099A (ja) | 載置台アセンブリ、基板処理装置及びエッジリング | |
| KR101614032B1 (ko) | 가스 분배 장치 및 이를 구비하는 기판 처리 장치 | |
| US11244837B2 (en) | Process gas supply apparatus and wafer treatment system including the same | |
| KR20090013626A (ko) | 다중 무선 주파수 안테나를 갖는 유도 결합 플라즈마반응기 | |
| JP2000031121A (ja) | プラズマ放出装置及びプラズマ処理装置 | |
| KR20040021809A (ko) | 부위별로 단면적이 다른 안테나를 구비한 유도결합플라즈마 발생장치 | |
| KR20070118481A (ko) | 플라즈마 처리 장치 및 이를 이용한 기판 처리 방법 |
Legal Events
| Date | Code | Title | Description |
|---|---|---|---|
| A201 | Request for examination | ||
| PA0109 | Patent application |
St.27 status event code: A-0-1-A10-A12-nap-PA0109 |
|
| PA0201 | Request for examination |
St.27 status event code: A-1-2-D10-D11-exm-PA0201 |
|
| R18-X000 | Changes to party contact information recorded |
St.27 status event code: A-3-3-R10-R18-oth-X000 |
|
| PG1501 | Laying open of application |
St.27 status event code: A-1-1-Q10-Q12-nap-PG1501 |
|
| D13-X000 | Search requested |
St.27 status event code: A-1-2-D10-D13-srh-X000 |
|
| D14-X000 | Search report completed |
St.27 status event code: A-1-2-D10-D14-srh-X000 |
|
| R17-X000 | Change to representative recorded |
St.27 status event code: A-3-3-R10-R17-oth-X000 |
|
| PN2301 | Change of applicant |
St.27 status event code: A-3-3-R10-R13-asn-PN2301 St.27 status event code: A-3-3-R10-R11-asn-PN2301 |
|
| E902 | Notification of reason for refusal | ||
| PE0902 | Notice of grounds for rejection |
St.27 status event code: A-1-2-D10-D21-exm-PE0902 |
|
| PN2301 | Change of applicant |
St.27 status event code: A-3-3-R10-R13-asn-PN2301 St.27 status event code: A-3-3-R10-R11-asn-PN2301 |
|
| E701 | Decision to grant or registration of patent right | ||
| PE0701 | Decision of registration |
St.27 status event code: A-1-2-D10-D22-exm-PE0701 |
|
| GRNT | Written decision to grant | ||
| PR0701 | Registration of establishment |
St.27 status event code: A-2-4-F10-F11-exm-PR0701 |
|
| PR1002 | Payment of registration fee |
St.27 status event code: A-2-2-U10-U11-oth-PR1002 Fee payment year number: 1 |
|
| PG1601 | Publication of registration |
St.27 status event code: A-4-4-Q10-Q13-nap-PG1601 |
|
| PR1001 | Payment of annual fee |
St.27 status event code: A-4-4-U10-U11-oth-PR1001 Fee payment year number: 4 |
|
| PR1001 | Payment of annual fee |
St.27 status event code: A-4-4-U10-U11-oth-PR1001 Fee payment year number: 5 |
|
| PR1001 | Payment of annual fee |
St.27 status event code: A-4-4-U10-U11-oth-PR1001 Fee payment year number: 6 |
|
| PR1001 | Payment of annual fee |
St.27 status event code: A-4-4-U10-U11-oth-PR1001 Fee payment year number: 7 |
|
| R18-X000 | Changes to party contact information recorded |
St.27 status event code: A-5-5-R10-R18-oth-X000 |
|
| FPAY | Annual fee payment |
Payment date: 20130221 Year of fee payment: 8 |
|
| PR1001 | Payment of annual fee |
St.27 status event code: A-4-4-U10-U11-oth-PR1001 Fee payment year number: 8 |
|
| FPAY | Annual fee payment |
Payment date: 20140221 Year of fee payment: 9 |
|
| PR1001 | Payment of annual fee |
St.27 status event code: A-4-4-U10-U11-oth-PR1001 Fee payment year number: 9 |
|
| FPAY | Annual fee payment |
Payment date: 20150212 Year of fee payment: 10 |
|
| PR1001 | Payment of annual fee |
St.27 status event code: A-4-4-U10-U11-oth-PR1001 Fee payment year number: 10 |
|
| LAPS | Lapse due to unpaid annual fee | ||
| PC1903 | Unpaid annual fee |
St.27 status event code: A-4-4-U10-U13-oth-PC1903 Not in force date: 20160311 Payment event data comment text: Termination Category : DEFAULT_OF_REGISTRATION_FEE |
|
| PC1903 | Unpaid annual fee |
St.27 status event code: N-4-6-H10-H13-oth-PC1903 Ip right cessation event data comment text: Termination Category : DEFAULT_OF_REGISTRATION_FEE Not in force date: 20160311 |