KR101659095B1 - 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기 - Google Patents
측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기 Download PDFInfo
- Publication number
- KR101659095B1 KR101659095B1 KR1020107017440A KR20107017440A KR101659095B1 KR 101659095 B1 KR101659095 B1 KR 101659095B1 KR 1020107017440 A KR1020107017440 A KR 1020107017440A KR 20107017440 A KR20107017440 A KR 20107017440A KR 101659095 B1 KR101659095 B1 KR 101659095B1
- Authority
- KR
- South Korea
- Prior art keywords
- region
- bellows
- arm unit
- opening
- chamber
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32568—Relative arrangement or disposition of electrodes; moving means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma Technology (AREA)
- Drying Of Semiconductors (AREA)
Abstract
Description
플라즈마 처리 장치의 다른 실시예에 따르면, 암 유닛은 내부 캐비티를 포함하고, 챔버는 내부 캐비티 내에 위치되고, RF 전원에 커플링된 일단을 가져서 일단을 통해 RF 전원으로부터 RF 전력을 전송하도록 동작하는 RF 튜브 및 RF 튜브의 타단에 커플링되고, RF 전력을 집전하여 RF 전력을 기판 지지부로 전송하도록 동작하는 RF 도전체를 더 포함한다.
플라즈마 처리 장치의 또 다른 실시예에 따르면, RF 전원은 암 유닛의 외곽부 상에 탑재되어, RF 전원은 작동 메커니즘에 의해 캔틸레버 어셈블리와 함께 이동된다.
플라즈마 처리 장치의 또 다른 실시예에 따르면, 개구부를 둘러싸는 측벽 상에 비접촉 입자 밀봉을 더 포함하고, 비접촉 입자 밀봉은 암 유닛으로부터 수직으로 연장되는 고정형 판을 포함하고, 측벽은 고정형 판을 수용하는 슬롯을 포함하여, 고정형 판이 슬롯에 접촉하지 않고, 고정형 판의 외부가 암 유닛의 모든 수직 위치에서 슬롯 내에 유지된다.
플라즈마 처리 장치의 또 다른 실시예에 따르면, 암 유닛이 최상위 위치로 이동하는 경우, 벨로우의 상부는 압축되고 벨로우의 하부는 팽창되고, 암 유닛이 최하위 위치로 이동하는 경우, 벨로우의 상부는 팽창되고 벨로우의 하부는 압축된다.
플라즈마 처리 장치의 또 다른 실시예에 따르면, 벨로우는 이동가능한 벨로우 실드판 및 고정형 벨로우 실드를 포함하고, 이동가능한 벨로우 실드판은 암 유닛의 제 2 말단으로부터 연장되고, 고정형 벨로우 실드는 측벽 상에 탑재된다.
플라즈마 처리 장치의 또 다른 실시예에 따르면, 상부 전극 어셈블리는 갭에 처리 가스를 공급하기 위한 적어도 하나의 배플 (baffle) 을 포함하고, RF 전원은 하부 전극 어셈블리에 RF 전력을 공급하여 처리 가스를 여기시켜 플라즈마를 생성하도록 동작할 수 있다.
플라즈마 처리 장치의 또 다른 실시예에 따르면, 기판 지지부는 RF 구동 하부 전극을 포함하고, 암 유닛은 제 2 말단에 하우징을 포함하고, 하우징은 전극에 RF 매칭을 제공하는 회로를 포함한다. 암 유닛은 기판 지지부에 탑재된 기판에 배면 냉각제를 공급하도록 동작할 수 있는 적어도 하나의 가스 라인을 포함한다. 지지 암은 기판 지지부에 위치된 센서로부터의 신호를 전송하도록 동작할 수 있는 적어도 하나의 전기 접속부를 포함한다. 그리고/또는 지지 암은 기판 지지부 내에 열전달액을 순환시키도록 동작할 수 있는 유체 통로를 포함한다.
플라즈마 처리 장치의 또 다른 실시예에 따르면, 플라즈마 처리 장치는 챔버 외부에 위치된 이동가능한 지지판을 더 포함하고, 이동가능한 지지판은 지지 암의 일단에 부착되고 지지 암의 서비스 개구부에 수평으로 정렬된 복수의 서비스 개구부를 갖고, 이동가능한 지지판은 선형 가이드를 따라 챔버의 측벽의 외부면을 따라 슬라이딩하는 이동가능한 환형판에 부착되고, 환형판은 벨로우가 위치되는 지지 암 주위에 외곽 공간을 정의한다.
기판을 처리하기 위한 플라즈마 처리 챔버의 캔틸레버 어셈블리를 위한 측방향 벨로우 유닛의 일 실시형태는 측벽이 챔버의 내부를, 측벽의 개구부를 통해 유체 소통되는 제 1 영역 및 제 2 영역으로 분리시키고, 측방향 벨로우 유닛은 챔버의 측벽 상에 탑재되는 고정형 환형판으로서, 환형판의 개구부는 측벽의 개구부를 둘러싸는, 고정형 환형판, 제 1 영역 및 상기 제 2 영역의 외부에서 암 유닛의 일단에 부착되는 이동가능한 판으로서, 암 유닛은 측벽의 개구부를 통해 수평으로 확장되어 제 1 말단은 제 1 영역에 있고 제 2 말단은 벨로우에 의해 정의되는 제 2 영역에 있도록 구성되고, 제 1 말단의 상부 상에 기판 지지부가 위치되는, 이동가능한 판 및 고정형 환형판과 이동가능한 판 사이에 연장되는 벨로우로서, 고정형 환형판에서, (a) 암 유닛이 최상위 위치로 이동하는 경우, 벨로우의 상부는 압축되고 벨로우의 하부는 팽창되고, (b) 암 유닛이 최하위 위치로 이동하는 경우, 벨로우의 상부는 팽창되고 벨로우의 하부는 압축되는, 벨로우를 포함한다.
측방향 벨로우 유닛의 다른 실시예에 따르면, 벨로우는 테이퍼링되고, 챔버의 측벽에 밀봉된 말단에서 더 큰 직경을 갖는다.
도 2 는, 하부 전극이 조정가능한 갭 플라즈마 반응기 챔버에 대해 수직으로 변환될 수 있게 하는 캔틸레버가 탑재된 RF 바이어스 하우징의 일 실시형태를 도시한다.
도 3 은 도 1a 내지 도 1c 에 도시된 측방향 벨로우의 일 실시형태의 부분 단면도를 도시한다.
도 4 는 이동가능한 벨로우 실드판 및 고정형 벨로우 실드의 세부사항을 도시하는, 도 3 의 박스 Q 의 세부 확대도를 도시한다.
도 5a 및 도 5b 는, 하부 전극이 중간 위치 (중간 갭) 에 있는 경우 래비린스 밀봉의 일 실시형태에 대한 부분 단면도를 도시한다.
도 6a 및 도 6b 는, 하부 전극이 로우 위치 (큰 갭) 에 있는 경우 도 5a 및 도 5b 의 실시형태에 대한 부분 단면도를 도시한다.
Claims (19)
- 챔버의 내부를 제 1 영역 및 제 2 영역으로 분리시키는 측벽을 포함하는 챔버로서, 상기 측벽은 상기 제 1 영역과 상기 제 2 영역 사이에 유체 소통을 제공하는 개구부를 갖는, 상기 챔버;
상기 개구부를 통해 수평으로 연장되어 제 1 말단은 상기 제 1 영역에 있고 제 2 말단은 상기 제 2 영역에 있는 암 유닛을 포함하는 캔틸레버 어셈블리로서, 상기 제 1 말단의 상부 상에 기판 지지부가 위치되는, 상기 캔틸레버 어셈블리;
상기 암 유닛의 제 2 말단에 커플링되고 상기 캔틸레버 어셈블리를 수직 방향으로 이동시키도록 동작하는 작동 메커니즘; 및
상기 개구부의 주변부 주위에서 상기 암 유닛과 상기 측벽 사이에 가로방향으로 이동가능한 진공 밀봉을 제공하는 측방향 벨로우 장치를 포함하고,
상기 암 유닛은 내부 캐비티를 포함하고,
상기 챔버는,
상기 내부 캐비티 내에 위치되고, RF 전원에 커플링된 일단을 가져서 상기 일단을 통해 상기 RF 전원으로부터 RF 전력을 전송하도록 동작하는 RF 튜브; 및
상기 RF 튜브의 타단에 커플링되고, 상기 RF 전력을 집전하고, 상기 RF 전력을 상기 기판 지지부로 전송하도록 동작하는 RF 도전체를 더 포함하는, 플라즈마 처리 장치. - 제 1 항에 있어서,
상기 기판 지지부는 기판을 지지하도록 구성된 상단면을 갖는 하부 전극 어셈블리를 포함하고,
상기 챔버는, 상기 기판 지지부의 상단면으로부터 이격되어 대면하는 하단면을 가져서, 상기 상단면과 상기 하단면 사이에 갭을 형성하는 상부 전극 어셈블리를 더 포함하고,
상기 하부 전극 어셈블리는 상기 암 유닛에 위치된 무선 주파수 (RF) 전송 부재를 통해 RF 전원에 커플링되고,
상기 작동 메커니즘은 상기 기판 지지부를 상기 상부 전극 어셈블리에 대해 다양한 높이로 이동시키도록 동작할 수 있어서 기판의 플라즈마 처리 동안 상기 갭의 사이즈를 조정할 수 있는, 플라즈마 처리 장치. - 제 2 항에 있어서,
상기 하부 전극 어셈블리는 플라즈마 처리 동안 상기 기판을 제 위치에 클램핑하도록 동작할 수 있는 정전 척을 포함하는, 플라즈마 처리 장치. - 삭제
- 제 1 항에 있어서,
상기 RF 전원은 상기 암 유닛의 외곽부 상에 탑재되어, 상기 RF 전원은 상기 작동 메커니즘에 의해 상기 캔틸레버 어셈블리와 함께 이동되는, 플라즈마 처리 장치. - 챔버의 내부를 제 1 영역 및 제 2 영역으로 분리시키는 측벽을 포함하는 챔버로서, 상기 측벽은 상기 제 1 영역과 상기 제 2 영역 사이에 유체 소통을 제공하는 개구부를 갖는, 상기 챔버;
상기 개구부를 통해 수평으로 연장되어 제 1 말단은 상기 제 1 영역에 있고 제 2 말단은 상기 제 2 영역에 있는 암 유닛을 포함하는 캔틸레버 어셈블리로서, 상기 제 1 말단의 상부 상에 기판 지지부가 위치되는, 상기 캔틸레버 어셈블리;
상기 암 유닛의 제 2 말단에 커플링되고 상기 캔틸레버 어셈블리를 수직 방향으로 이동시키도록 동작하는 작동 메커니즘;
상기 개구부의 주변부 주위에서 상기 암 유닛과 상기 측벽 사이에 가로방향으로 이동가능한 진공 밀봉을 제공하는 측방향 벨로우 장치; 및
상기 개구부를 둘러싸는 측벽 상에 비접촉 입자 밀봉을 포함하고, 상기 비접촉 입자 밀봉은 상기 암 유닛으로부터 수직으로 연장되는 고정형 판을 포함하고, 상기 측벽은 상기 고정형 판을 수용하는 슬롯을 포함하여, 상기 고정형 판이 상기 슬롯에 접촉하지 않고, 상기 고정형 판의 외부가 상기 암 유닛의 모든 수직 위치에서 상기 슬롯 내에 유지되는, 플라즈마 처리 장치. - 챔버의 내부를 제 1 영역 및 제 2 영역으로 분리시키는 측벽을 포함하는 챔버로서, 상기 측벽은 상기 제 1 영역과 상기 제 2 영역 사이에 유체 소통을 제공하는 개구부를 갖는, 상기 챔버;
상기 개구부를 통해 수평으로 연장되어 제 1 말단은 상기 제 1 영역에 있고 제 2 말단은 상기 제 2 영역에 있는 암 유닛을 포함하는 캔틸레버 어셈블리로서, 상기 제 1 말단의 상부 상에 기판 지지부가 위치되는, 상기 캔틸레버 어셈블리;
상기 암 유닛의 제 2 말단에 커플링되고 상기 캔틸레버 어셈블리를 수직 방향으로 이동시키도록 동작하는 작동 메커니즘; 및
상기 개구부의 주변부 주위에서 상기 암 유닛과 상기 측벽 사이에 가로방향으로 이동가능한 진공 밀봉을 제공하는 측방향 벨로우 장치를 포함하고,
(a) 상기 암 유닛이 최상위 위치로 이동하는 경우, 상기 벨로우의 상부는 압축되고 상기 벨로우의 하부는 팽창되고,
(b) 상기 암 유닛이 최하위 위치로 이동하는 경우, 상기 벨로우의 상부는 팽창되고 상기 벨로우의 하부는 압축되는, 플라즈마 처리 장치. - 챔버의 내부를 제 1 영역 및 제 2 영역으로 분리시키는 측벽을 포함하는 챔버로서, 상기 측벽은 상기 제 1 영역과 상기 제 2 영역 사이에 유체 소통을 제공하는 개구부를 갖는, 상기 챔버;
상기 개구부를 통해 수평으로 연장되어 제 1 말단은 상기 제 1 영역에 있고 제 2 말단은 상기 제 2 영역에 있는 암 유닛을 포함하는 캔틸레버 어셈블리로서, 상기 제 1 말단의 상부 상에 기판 지지부가 위치되는, 상기 캔틸레버 어셈블리;
상기 암 유닛의 제 2 말단에 커플링되고 상기 캔틸레버 어셈블리를 수직 방향으로 이동시키도록 동작하는 작동 메커니즘; 및
상기 개구부의 주변부 주위에서 상기 암 유닛과 상기 측벽 사이에 가로방향으로 이동가능한 진공 밀봉을 제공하는 측방향 벨로우 장치를 포함하고,
상기 벨로우는 이동가능한 벨로우 실드판 및 고정형 벨로우 실드를 포함하고, 상기 이동가능한 벨로우 실드판은 상기 암 유닛의 제 2 말단으로부터 연장되고, 상기 고정형 벨로우 실드는 상기 측벽 상에 탑재되는, 플라즈마 처리 장치. - 제 1 항에 있어서,
상기 작동 메커니즘은
수직 선형 베어링;
상기 암 유닛에 회전가능하게 고정되고, 회전하는 경우, 상기 암 유닛을 이동시키도록 동작하는 볼 스크류; 및
상기 볼 스크류를 회전시키는 모터를 포함하는, 플라즈마 처리 장치. - 제 2 항에 있어서,
상기 상부 전극 어셈블리는 상기 갭에 처리 가스를 공급하기 위한 적어도 하나의 배플 (baffle) 을 포함하고,
상기 RF 전원은 상기 하부 전극 어셈블리에 RF 전력을 공급하여 상기 처리 가스를 여기시켜 플라즈마를 생성하도록 동작할 수 있는, 플라즈마 처리 장치. - 제 2 항에 있어서,
상기 갭을 둘러싸고 그에 의해 상기 플라즈마를 상기 갭에 한정하도록 구성된 적어도 하나의 한정 링을 포함하는 한정 링 어셈블리를 더 포함하는, 플라즈마 처리 장치. - 제 1 항에 있어서,
상기 벨로우는 일단이 상기 측벽의 외부에 밀봉된 측방향으로 휘어진 벨로우이고, 상기 벨로우의 내부는 상기 제 2 영역을 정의하는, 플라즈마 처리 장치. - 제 1 항에 있어서,
상기 제 2 영역의 외부에 위치된 암의 제 2 말단 상에 RF 소스가 지지되는, 플라즈마 처리 장치. - 챔버의 내부를 제 1 영역 및 제 2 영역으로 분리시키는 측벽을 포함하는 챔버로서, 상기 측벽은 상기 제 1 영역과 상기 제 2 영역 사이에 유체 소통을 제공하는 개구부를 갖는, 상기 챔버;
상기 개구부를 통해 수평으로 연장되어 제 1 말단은 상기 제 1 영역에 있고 제 2 말단은 상기 제 2 영역에 있는 암 유닛을 포함하는 캔틸레버 어셈블리로서, 상기 제 1 말단의 상부 상에 기판 지지부가 위치되는, 상기 캔틸레버 어셈블리;
상기 암 유닛의 제 2 말단에 커플링되고 상기 캔틸레버 어셈블리를 수직 방향으로 이동시키도록 동작하는 작동 메커니즘; 및
상기 개구부의 주변부 주위에서 상기 암 유닛과 상기 측벽 사이에 가로방향으로 이동가능한 진공 밀봉을 제공하는 측방향 벨로우 장치를 포함하고,
상기 기판 지지부는 기판을 지지하도록 구성된 상단면을 갖는 하부 전극 어셈블리를 포함하고,
상기 챔버는, 상기 기판 지지부의 상단면으로부터 이격되어 대면하는 하단면을 가져서, 상기 상단면과 상기 하단면 사이에 갭을 형성하는 상부 전극 어셈블리를 더 포함하고,
상기 하부 전극 어셈블리는 상기 암 유닛에 위치된 무선 주파수 (RF) 전송 부재를 통해 RF 전원에 커플링되고,
상기 작동 메커니즘은 상기 기판 지지부를 상기 상부 전극 어셈블리에 대해 다양한 높이로 이동시키도록 동작할 수 있어서 기판의 플라즈마 처리 동안 상기 갭의 사이즈를 조정할 수 있으며,
(a) 상기 기판 지지부는 RF 구동 하부 전극을 포함하고, 상기 암 유닛은 제 2 말단에 하우징을 포함하고, 상기 하우징은 상기 전극에 RF 매칭을 제공하는 회로를 포함하고;
(b) 상기 암 유닛은 상기 기판 지지부에 탑재된 기판에 배면 냉각제를 공급하도록 동작할 수 있는 적어도 하나의 가스 라인을 포함하고,
(c) 지지 암은 상기 기판 지지부에 위치된 센서로부터의 신호를 전송하도록 동작할 수 있는 적어도 하나의 전기 접속부를 포함하고, 그리고/또는
(d) 상기 지지 암은 상기 기판 지지부 내에 열전달액을 순환시키도록 동작할 수 있는 유체 통로를 포함하는, 플라즈마 처리 장치. - 챔버의 내부를 제 1 영역 및 제 2 영역으로 분리시키는 측벽을 포함하는 챔버로서, 상기 측벽은 상기 제 1 영역과 상기 제 2 영역 사이에 유체 소통을 제공하는 개구부를 갖는, 상기 챔버;
상기 개구부를 통해 수평으로 연장되어 제 1 말단은 상기 제 1 영역에 있고 제 2 말단은 상기 제 2 영역에 있는 암 유닛을 포함하는 캔틸레버 어셈블리로서, 상기 제 1 말단의 상부 상에 기판 지지부가 위치되는, 상기 캔틸레버 어셈블리;
상기 암 유닛의 제 2 말단에 커플링되고 상기 캔틸레버 어셈블리를 수직 방향으로 이동시키도록 동작하는 작동 메커니즘;
상기 개구부의 주변부 주위에서 상기 암 유닛과 상기 측벽 사이에 가로방향으로 이동가능한 진공 밀봉을 제공하는 측방향 벨로우 장치; 및
상기 챔버 외부에 위치된 이동가능한 지지판을 포함하고, 상기 이동가능한 지지판은 지지 암의 일단에 부착되고 상기 지지 암의 서비스 개구부에 수평으로 정렬된 복수의 서비스 개구부를 갖고, 상기 이동가능한 지지판은 선형 가이드를 따라 상기 챔버의 측벽의 외부면을 따라 슬라이딩하는 이동가능한 환형판에 부착되고, 상기 환형판은 상기 벨로우가 위치되는 상기 지지 암 주위에 외곽 공간을 정의하는, 플라즈마 처리 장치. - 반도체 기판을 처리하는 방법으로서,
제 2 항에 기재된 플라즈마 처리 장치의 기판 지지부 상에 반도체 기판을 지지하는 단계;
상기 상부 전극 어셈블리와 상기 하부 전극 어셈블리 사이의 공간에 플라즈마를 발생시키는 단계;
상기 작동 메커니즘을 통해 상기 캔틸레버 어셈블리를 이동시킴으로써 상기 갭을 조정하는 단계; 및
상기 반도체 기판을 상기 플라즈마로 처리하는 단계를 포함하는, 반도체 기판을 처리하는 방법. - 제 16 항에 있어서,
상기 처리는 플라즈마 에칭을 포함하는, 반도체 기판을 처리하는 방법. - 기판을 처리하기 위한 플라즈마 처리 챔버의 캔틸레버 어셈블리를 위한 측방향 벨로우 유닛으로서,
측벽이 상기 챔버의 내부를, 상기 측벽의 개구부를 통해 유체 소통되는 제 1 영역 및 제 2 영역으로 분리시키고,
상기 측방향 벨로우 유닛은,
상기 챔버의 측벽 상에 탑재되는 고정형 환형판으로서, 상기 환형판의 개구부는 상기 측벽의 개구부를 둘러싸는, 상기 고정형 환형판;
상기 제 1 영역 및 상기 제 2 영역의 외부에서 암 유닛의 일단에 부착되는 이동가능한 판으로서, 상기 암 유닛은 상기 측벽의 개구부를 통해 수평으로 확장되어 제 1 말단은 상기 제 1 영역에 있고 제 2 말단은 벨로우에 의해 정의되는 제 2 영역에 있도록 구성되고, 상기 제 1 말단의 상부 상에 기판 지지부가 위치되는, 상기 이동가능한 판; 및
상기 고정형 환형판과 상기 이동가능한 판 사이에 연장되는 벨로우로서, 상기 고정형 환형판에서, (a) 상기 암 유닛이 최상위 위치로 이동하는 경우, 상기 벨로우의 상부는 압축되고 상기 벨로우의 하부는 팽창되고, (b) 상기 암 유닛이 최하위 위치로 이동하는 경우, 상기 벨로우의 상부는 팽창되고 상기 벨로우의 하부는 압축되는, 상기 벨로우를 포함하는, 측방향 벨로우 유닛. - 제 18 항에 있어서,
상기 벨로우는 테이퍼링되고, 상기 챔버의 측벽에 밀봉된 말단에서 더 큰 직경을 갖는, 측방향 벨로우 유닛.
Applications Claiming Priority (3)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| US698508P | 2008-02-08 | 2008-02-08 | |
| US61/006,985 | 2008-02-08 | ||
| PCT/US2009/000784 WO2009099660A2 (en) | 2008-02-08 | 2009-02-06 | Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal |
Publications (2)
| Publication Number | Publication Date |
|---|---|
| KR20100119762A KR20100119762A (ko) | 2010-11-10 |
| KR101659095B1 true KR101659095B1 (ko) | 2016-09-22 |
Family
ID=40938008
Family Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| KR1020107017440A Active KR101659095B1 (ko) | 2008-02-08 | 2009-02-06 | 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기 |
Country Status (7)
| Country | Link |
|---|---|
| US (2) | US8552334B2 (ko) |
| JP (1) | JP5759177B2 (ko) |
| KR (1) | KR101659095B1 (ko) |
| CN (1) | CN102084468B (ko) |
| SG (1) | SG188140A1 (ko) |
| TW (1) | TWI447833B (ko) |
| WO (1) | WO2009099660A2 (ko) |
Cited By (1)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP2020053330A (ja) * | 2018-09-28 | 2020-04-02 | 芝浦メカトロニクス株式会社 | プラズマ処理装置 |
Families Citing this family (131)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US7390755B1 (en) | 2002-03-26 | 2008-06-24 | Novellus Systems, Inc. | Methods for post etch cleans |
| US7288484B1 (en) | 2004-07-13 | 2007-10-30 | Novellus Systems, Inc. | Photoresist strip method for low-k dielectrics |
| US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
| US8129281B1 (en) | 2005-05-12 | 2012-03-06 | Novellus Systems, Inc. | Plasma based photoresist removal system for cleaning post ash residue |
| US7740768B1 (en) * | 2006-10-12 | 2010-06-22 | Novellus Systems, Inc. | Simultaneous front side ash and backside clean |
| US8435895B2 (en) | 2007-04-04 | 2013-05-07 | Novellus Systems, Inc. | Methods for stripping photoresist and/or cleaning metal regions |
| JP5759177B2 (ja) | 2008-02-08 | 2015-08-05 | ラム リサーチ コーポレーションLam Research Corporation | プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット |
| US8900404B2 (en) * | 2008-06-10 | 2014-12-02 | Lam Research Corporation | Plasma processing systems with mechanisms for controlling temperatures of components |
| CN101351076B (zh) * | 2008-09-16 | 2011-08-17 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 等离子体处理设备 |
| US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
| JP4523661B1 (ja) * | 2009-03-10 | 2010-08-11 | 三井造船株式会社 | 原子層堆積装置及び薄膜形成方法 |
| US9111729B2 (en) * | 2009-12-03 | 2015-08-18 | Lam Research Corporation | Small plasma chamber systems and methods |
| US20110143548A1 (en) | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
| JP5770740B2 (ja) | 2009-12-11 | 2015-08-26 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 高ドーズインプラントストリップの前に行われる、シリコンを保護するためのパッシベーションプロセスの改善方法およびそのための装置 |
| JP5422416B2 (ja) * | 2010-01-28 | 2014-02-19 | 株式会社日立製作所 | 試料搬送装置 |
| US9190289B2 (en) | 2010-02-26 | 2015-11-17 | Lam Research Corporation | System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas |
| US9449793B2 (en) | 2010-08-06 | 2016-09-20 | Lam Research Corporation | Systems, methods and apparatus for choked flow element extraction |
| US9967965B2 (en) | 2010-08-06 | 2018-05-08 | Lam Research Corporation | Distributed, concentric multi-zone plasma source systems, methods and apparatus |
| US9155181B2 (en) | 2010-08-06 | 2015-10-06 | Lam Research Corporation | Distributed multi-zone plasma source systems, methods and apparatus |
| US8999104B2 (en) | 2010-08-06 | 2015-04-07 | Lam Research Corporation | Systems, methods and apparatus for separate plasma source control |
| US10453694B2 (en) | 2011-03-01 | 2019-10-22 | Applied Materials, Inc. | Abatement and strip process chamber in a dual loadlock configuration |
| CN203746815U (zh) | 2011-03-01 | 2014-07-30 | 应用材料公司 | 用于处理基板的腔室 |
| US11171008B2 (en) | 2011-03-01 | 2021-11-09 | Applied Materials, Inc. | Abatement and strip process chamber in a dual load lock configuration |
| US20120318455A1 (en) * | 2011-06-14 | 2012-12-20 | Andreas Fischer | Passive compensation for temperature-dependent wafer gap changes in plasma processing systems |
| US9613825B2 (en) | 2011-08-26 | 2017-04-04 | Novellus Systems, Inc. | Photoresist strip processes for improved device integrity |
| TWI661746B (zh) * | 2011-10-05 | 2019-06-01 | 應用材料股份有限公司 | 電漿處理設備及其蓋組件(一) |
| US9177762B2 (en) | 2011-11-16 | 2015-11-03 | Lam Research Corporation | System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing |
| US10283325B2 (en) | 2012-10-10 | 2019-05-07 | Lam Research Corporation | Distributed multi-zone plasma source systems, methods and apparatus |
| US9083182B2 (en) | 2011-11-21 | 2015-07-14 | Lam Research Corporation | Bypass capacitors for high voltage bias power in the mid frequency RF range |
| US8872525B2 (en) | 2011-11-21 | 2014-10-28 | Lam Research Corporation | System, method and apparatus for detecting DC bias in a plasma processing chamber |
| US10586686B2 (en) | 2011-11-22 | 2020-03-10 | Law Research Corporation | Peripheral RF feed and symmetric RF return for symmetric RF delivery |
| US9263240B2 (en) | 2011-11-22 | 2016-02-16 | Lam Research Corporation | Dual zone temperature control of upper electrodes |
| US8898889B2 (en) * | 2011-11-22 | 2014-12-02 | Lam Research Corporation | Chuck assembly for plasma processing |
| US9396908B2 (en) | 2011-11-22 | 2016-07-19 | Lam Research Corporation | Systems and methods for controlling a plasma edge region |
| KR102192742B1 (ko) * | 2011-11-23 | 2020-12-18 | 램 리써치 코포레이션 | 대칭적 rf 전달을 위한 주변부에서의 rf 공급 및 대칭적 rf 복귀 |
| WO2013078152A1 (en) * | 2011-11-23 | 2013-05-30 | Lam Research Corporation | Peripheral rf feed and symmetric rf return with rf strap input |
| CN104011838B (zh) | 2011-11-24 | 2016-10-05 | 朗姆研究公司 | 具有柔性对称的rf返回带的等离子体处理室 |
| KR102068186B1 (ko) | 2012-02-29 | 2020-02-11 | 어플라이드 머티어리얼스, 인코포레이티드 | 로드 록 구성의 저감 및 스트립 프로세스 챔버 |
| US8895452B2 (en) | 2012-05-31 | 2014-11-25 | Lam Research Corporation | Substrate support providing gap height and planarization adjustment in plasma processing chamber |
| US9543158B2 (en) | 2014-12-04 | 2017-01-10 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
| US10297459B2 (en) | 2013-09-20 | 2019-05-21 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
| US9378971B1 (en) | 2014-12-04 | 2016-06-28 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
| KR20160118205A (ko) | 2014-02-06 | 2016-10-11 | 어플라이드 머티어리얼스, 인코포레이티드 | 개선된 유동 전도성 및 균일성을 위해 축방향으로 대칭가능한 인라인 dps 챔버 하드웨어 설계 |
| US20150243483A1 (en) * | 2014-02-21 | 2015-08-27 | Lam Research Corporation | Tunable rf feed structure for plasma processing |
| US9741575B2 (en) * | 2014-03-10 | 2017-08-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | CVD apparatus with gas delivery ring |
| JP6660936B2 (ja) * | 2014-04-09 | 2020-03-11 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ |
| US9514954B2 (en) | 2014-06-10 | 2016-12-06 | Lam Research Corporation | Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films |
| JP6541374B2 (ja) * | 2014-07-24 | 2019-07-10 | 東京エレクトロン株式会社 | 基板処理装置 |
| DE102014220220B4 (de) * | 2014-10-07 | 2018-05-30 | Carl Zeiss Smt Gmbh | Vakuum-Lineardurchführung und Vakuum-System damit |
| US9609730B2 (en) | 2014-11-12 | 2017-03-28 | Lam Research Corporation | Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas |
| US9773649B2 (en) | 2014-11-17 | 2017-09-26 | Lam Research Corporation | Dry development and image transfer of si-containing self-assembled block copolymers |
| US9384998B2 (en) | 2014-12-04 | 2016-07-05 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
| US9997373B2 (en) | 2014-12-04 | 2018-06-12 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
| US10170324B2 (en) | 2014-12-04 | 2019-01-01 | Lam Research Corporation | Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch |
| US9887097B2 (en) | 2014-12-04 | 2018-02-06 | Lam Research Corporation | Technique to deposit sidewall passivation for high aspect ratio cylinder etch |
| US9620377B2 (en) | 2014-12-04 | 2017-04-11 | Lab Research Corporation | Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch |
| US20160181116A1 (en) | 2014-12-18 | 2016-06-23 | Lam Research Corporation | Selective nitride etch |
| US10658222B2 (en) | 2015-01-16 | 2020-05-19 | Lam Research Corporation | Moveable edge coupling ring for edge process control during semiconductor wafer processing |
| US11333246B2 (en) | 2015-01-26 | 2022-05-17 | Applied Materials, Inc. | Chamber body design architecture for next generation advanced plasma technology |
| US10049862B2 (en) * | 2015-04-17 | 2018-08-14 | Lam Research Corporation | Chamber with vertical support stem for symmetric conductance and RF delivery |
| US9673025B2 (en) * | 2015-07-27 | 2017-06-06 | Lam Research Corporation | Electrostatic chuck including embedded faraday cage for RF delivery and associated methods for operation, monitoring, and control |
| US10957561B2 (en) | 2015-07-30 | 2021-03-23 | Lam Research Corporation | Gas delivery system |
| US9543148B1 (en) | 2015-09-01 | 2017-01-10 | Lam Research Corporation | Mask shrink layer for high aspect ratio dielectric etch |
| US9837286B2 (en) | 2015-09-04 | 2017-12-05 | Lam Research Corporation | Systems and methods for selectively etching tungsten in a downstream reactor |
| US10192751B2 (en) | 2015-10-15 | 2019-01-29 | Lam Research Corporation | Systems and methods for ultrahigh selective nitride etch |
| US10825659B2 (en) | 2016-01-07 | 2020-11-03 | Lam Research Corporation | Substrate processing chamber including multiple gas injection points and dual injector |
| US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
| US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
| US10147588B2 (en) | 2016-02-12 | 2018-12-04 | Lam Research Corporation | System and method for increasing electron density levels in a plasma of a substrate processing system |
| US10438833B2 (en) | 2016-02-16 | 2019-10-08 | Lam Research Corporation | Wafer lift ring system for wafer transfer |
| US10410832B2 (en) | 2016-08-19 | 2019-09-10 | Lam Research Corporation | Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment |
| CN107785284B (zh) * | 2016-08-25 | 2020-06-19 | 北京北方华创微电子装备有限公司 | 开盖机构和半导体加工设备 |
| US10892179B2 (en) * | 2016-11-08 | 2021-01-12 | Lam Research Corporation | Electrostatic chuck including clamp electrode assembly forming portion of Faraday cage for RF delivery and associated methods |
| US10079168B2 (en) * | 2016-11-08 | 2018-09-18 | Lam Research Corporation | Ceramic electrostatic chuck including embedded Faraday cage for RF delivery and associated methods for operation, monitoring, and control |
| US10534257B2 (en) | 2017-05-01 | 2020-01-14 | Lam Research Corporation | Layout pattern proximity correction through edge placement error prediction |
| US10796912B2 (en) | 2017-05-16 | 2020-10-06 | Lam Research Corporation | Eliminating yield impact of stochastics in lithography |
| US10276398B2 (en) | 2017-08-02 | 2019-04-30 | Lam Research Corporation | High aspect ratio selective lateral etch using cyclic passivation and etching |
| US10510575B2 (en) | 2017-09-20 | 2019-12-17 | Applied Materials, Inc. | Substrate support with multiple embedded electrodes |
| US10763083B2 (en) | 2017-10-06 | 2020-09-01 | Lam Research Corporation | High energy atomic layer etching |
| CN107622943A (zh) * | 2017-10-13 | 2018-01-23 | 德淮半导体有限公司 | 半导体刻蚀机台 |
| US10847374B2 (en) | 2017-10-31 | 2020-11-24 | Lam Research Corporation | Method for etching features in a stack |
| US10658174B2 (en) | 2017-11-21 | 2020-05-19 | Lam Research Corporation | Atomic layer deposition and etch for reducing roughness |
| EP3566245B1 (en) | 2017-11-21 | 2021-03-17 | Lam Research Corporation | Bottom and middle edge rings |
| US10361092B1 (en) | 2018-02-23 | 2019-07-23 | Lam Research Corporation | Etching features using metal passivation |
| KR20240029787A (ko) | 2018-03-30 | 2024-03-06 | 램 리써치 코포레이션 | 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing) |
| US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
| US10934862B2 (en) | 2018-08-22 | 2021-03-02 | Rolls-Royce Plc | Turbine wheel assembly |
| US10927957B2 (en) | 2018-08-22 | 2021-02-23 | Rolls-Royce North American Technologies Inc. | Deflection seal system |
| JP6833784B2 (ja) * | 2018-09-28 | 2021-02-24 | 芝浦メカトロニクス株式会社 | プラズマ処理装置 |
| US11921427B2 (en) | 2018-11-14 | 2024-03-05 | Lam Research Corporation | Methods for making hard masks useful in next-generation lithography |
| US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
| WO2020117594A1 (en) | 2018-12-04 | 2020-06-11 | Applied Materials, Inc. | Substrate supports including metal-ceramic interfaces |
| CN111326387B (zh) | 2018-12-17 | 2023-04-21 | 中微半导体设备(上海)股份有限公司 | 一种电容耦合等离子体刻蚀设备 |
| CN111326389B (zh) * | 2018-12-17 | 2023-06-16 | 中微半导体设备(上海)股份有限公司 | 一种电容耦合等离子体刻蚀设备 |
| KR102731166B1 (ko) | 2018-12-20 | 2024-11-18 | 램 리써치 코포레이션 | 레지스트들의 건식 현상 (dry development) |
| KR20250100790A (ko) | 2019-01-22 | 2025-07-03 | 어플라이드 머티어리얼스, 인코포레이티드 | 펄스 전압 파형을 제어하기 위한 피드백 루프 |
| US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
| TW202514246A (zh) | 2019-03-18 | 2025-04-01 | 美商蘭姆研究公司 | 基板處理方法與設備 |
| JP7198694B2 (ja) * | 2019-03-18 | 2023-01-04 | 東京エレクトロン株式会社 | 基板リフト機構、基板支持器、及び基板処理装置 |
| WO2020223011A1 (en) | 2019-04-30 | 2020-11-05 | Lam Research Corporation | Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement |
| TWI837391B (zh) | 2019-06-26 | 2024-04-01 | 美商蘭姆研究公司 | 利用鹵化物化學品的光阻顯影 |
| CN116705595A (zh) | 2020-01-15 | 2023-09-05 | 朗姆研究公司 | 用于光刻胶粘附和剂量减少的底层 |
| CN115244664A (zh) | 2020-02-28 | 2022-10-25 | 朗姆研究公司 | 用于减少euv图案化缺陷的多层硬掩模 |
| WO2021202681A1 (en) | 2020-04-03 | 2021-10-07 | Lam Research Corporation | Pre-exposure photoresist curing to enhance euv lithographic performance |
| CN111725111B (zh) * | 2020-06-24 | 2023-08-18 | 北京北方华创微电子装备有限公司 | 半导体工艺设备的反应腔室及半导体工艺设备 |
| EP4078292A4 (en) | 2020-07-07 | 2023-11-22 | Lam Research Corporation | INTEGRATED DRY PROCESSES FOR PHOTORESIN PATTERNING BY RADIATION |
| KR20230040310A (ko) * | 2020-07-24 | 2023-03-22 | 램 리써치 코포레이션 | 웨이퍼 프로세싱 시스템들을 위한 고컨덕턴스 진공 밸브들 |
| US11462389B2 (en) | 2020-07-31 | 2022-10-04 | Applied Materials, Inc. | Pulsed-voltage hardware assembly for use in a plasma processing system |
| CN112259429B (zh) * | 2020-09-30 | 2024-06-21 | 北京北方华创微电子装备有限公司 | 一种半导体工艺设备 |
| CN112108720B (zh) * | 2020-10-18 | 2021-12-17 | 浙江启程汽车部件有限公司 | 一种波纹管预装配前覆层剥离设备 |
| KR102673863B1 (ko) | 2020-11-13 | 2024-06-11 | 램 리써치 코포레이션 | 포토레지스트의 건식 제거를 위한 프로세스 툴 |
| US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
| US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
| US11495470B1 (en) | 2021-04-16 | 2022-11-08 | Applied Materials, Inc. | Method of enhancing etching selectivity using a pulsed plasma |
| US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
| US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
| US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
| US11984306B2 (en) | 2021-06-09 | 2024-05-14 | Applied Materials, Inc. | Plasma chamber and chamber component cleaning methods |
| US12394596B2 (en) | 2021-06-09 | 2025-08-19 | Applied Materials, Inc. | Plasma uniformity control in pulsed DC plasma chamber |
| US11810760B2 (en) | 2021-06-16 | 2023-11-07 | Applied Materials, Inc. | Apparatus and method of ion current compensation |
| US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
| US11776788B2 (en) | 2021-06-28 | 2023-10-03 | Applied Materials, Inc. | Pulsed voltage boost for substrate processing |
| US11476090B1 (en) | 2021-08-24 | 2022-10-18 | Applied Materials, Inc. | Voltage pulse time-domain multiplexing |
| US12106938B2 (en) | 2021-09-14 | 2024-10-01 | Applied Materials, Inc. | Distortion current mitigation in a radio frequency plasma processing chamber |
| JP7737945B2 (ja) * | 2022-03-25 | 2025-09-11 | 住友電気工業株式会社 | ドライエッチング装置および半導体基板の製造方法 |
| US11972924B2 (en) | 2022-06-08 | 2024-04-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
| US12315732B2 (en) | 2022-06-10 | 2025-05-27 | Applied Materials, Inc. | Method and apparatus for etching a semiconductor substrate in a plasma etch chamber |
| TWI815519B (zh) * | 2022-06-24 | 2023-09-11 | 樂華科技股份有限公司 | 智慧晶圓傳送設備及其方法 |
| US12272524B2 (en) | 2022-09-19 | 2025-04-08 | Applied Materials, Inc. | Wideband variable impedance load for high volume manufacturing qualification and on-site diagnostics |
| US12111341B2 (en) | 2022-10-05 | 2024-10-08 | Applied Materials, Inc. | In-situ electric field detection method and apparatus |
| KR20250123420A (ko) * | 2024-02-08 | 2025-08-18 | 피에스케이 주식회사 | 기판 처리 장치 |
Citations (2)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP2003188094A (ja) * | 2001-10-19 | 2003-07-04 | Asml Us Inc | リソグラフィのパターニングにおいて用いるウェハ処理のシステムおよび方法 |
| WO2003060973A1 (fr) * | 2002-01-10 | 2003-07-24 | Tokyo Electron Limited | Dispositif de traitement |
Family Cites Families (13)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JPH043927A (ja) * | 1990-04-20 | 1992-01-08 | Mitsubishi Electric Corp | 半導体処理装置 |
| JPH04343222A (ja) * | 1991-05-21 | 1992-11-30 | Mitsubishi Electric Corp | プラズマ処理装置 |
| US5522937A (en) * | 1994-05-03 | 1996-06-04 | Applied Materials, Inc. | Welded susceptor assembly |
| US5534751A (en) * | 1995-07-10 | 1996-07-09 | Lam Research Corporation | Plasma etching apparatus utilizing plasma confinement |
| US5948704A (en) | 1996-06-05 | 1999-09-07 | Lam Research Corporation | High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support |
| KR19980027492A (ko) * | 1996-10-16 | 1998-07-15 | 김광호 | 서셉터의 평형도를 향상시키기 위한 절연막 증착 설비 |
| US6287435B1 (en) | 1998-05-06 | 2001-09-11 | Tokyo Electron Limited | Method and apparatus for ionized physical vapor deposition |
| KR20020031219A (ko) * | 2000-10-23 | 2002-05-01 | 윤종용 | 웨이퍼 가공장치의 서셉터 접지구조 |
| US20030154922A1 (en) * | 2002-02-19 | 2003-08-21 | Nathan House | C-chuck insulator strip |
| JP5324026B2 (ja) * | 2006-01-18 | 2013-10-23 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理装置の制御方法 |
| US7740736B2 (en) | 2006-06-08 | 2010-06-22 | Lam Research Corporation | Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber |
| US7732728B2 (en) * | 2007-01-17 | 2010-06-08 | Lam Research Corporation | Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor |
| JP5759177B2 (ja) | 2008-02-08 | 2015-08-05 | ラム リサーチ コーポレーションLam Research Corporation | プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット |
-
2009
- 2009-02-06 JP JP2010545887A patent/JP5759177B2/ja active Active
- 2009-02-06 KR KR1020107017440A patent/KR101659095B1/ko active Active
- 2009-02-06 CN CN200980104906.5A patent/CN102084468B/zh active Active
- 2009-02-06 WO PCT/US2009/000784 patent/WO2009099660A2/en active Application Filing
- 2009-02-06 SG SG2013010202A patent/SG188140A1/en unknown
- 2009-02-09 TW TW098104110A patent/TWI447833B/zh active
- 2009-02-09 US US12/367,754 patent/US8552334B2/en active Active
-
2013
- 2013-08-28 US US14/012,196 patent/US8735765B2/en active Active
Patent Citations (2)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP2003188094A (ja) * | 2001-10-19 | 2003-07-04 | Asml Us Inc | リソグラフィのパターニングにおいて用いるウェハ処理のシステムおよび方法 |
| WO2003060973A1 (fr) * | 2002-01-10 | 2003-07-24 | Tokyo Electron Limited | Dispositif de traitement |
Cited By (1)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP2020053330A (ja) * | 2018-09-28 | 2020-04-02 | 芝浦メカトロニクス株式会社 | プラズマ処理装置 |
Also Published As
| Publication number | Publication date |
|---|---|
| CN102084468B (zh) | 2014-10-29 |
| US20090200268A1 (en) | 2009-08-13 |
| TW200943457A (en) | 2009-10-16 |
| WO2009099660A2 (en) | 2009-08-13 |
| JP5759177B2 (ja) | 2015-08-05 |
| US8735765B2 (en) | 2014-05-27 |
| US8552334B2 (en) | 2013-10-08 |
| US20130340938A1 (en) | 2013-12-26 |
| SG188140A1 (en) | 2013-03-28 |
| JP2011511474A (ja) | 2011-04-07 |
| TWI447833B (zh) | 2014-08-01 |
| CN102084468A (zh) | 2011-06-01 |
| KR20100119762A (ko) | 2010-11-10 |
| WO2009099660A3 (en) | 2009-10-01 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| KR101659095B1 (ko) | 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기 | |
| JP5090468B2 (ja) | 容量結合型高周波プラズマ反応器における電極間隙を調整する装置 | |
| JP2011511474A5 (ko) | ||
| KR102785891B1 (ko) | 기판 프로세싱 챔버 내의 프로세스 키트의 시스 및 온도 제어 | |
| KR101826376B1 (ko) | 플라즈마 처리 챔버에서 갭 높이 및 평탄화 조정을 제공하는 기판 서포트 | |
| KR100429581B1 (ko) | 플라즈마 생성원, 진공 펌핑 장치 및/또는 외팔보형기판지지체와같은장비모듈을구비하는만능진공챔버 | |
| US20200194276A1 (en) | Capacitively Coupled Plasma Etching Apparatus | |
| US12300473B2 (en) | Electrostatic chuck for high bias radio frequency (RF) power application in a plasma processing chamber | |
| KR20220037498A (ko) | 프로세스 키트의 시스 및 온도 제어 | |
| US20250167030A1 (en) | Lift pin interface in a substrate support | |
| CN111863578B (zh) | 一种等离子体处理设备 | |
| CN115910733B (zh) | 半导体工艺设备 | |
| US11881375B2 (en) | Common substrate and shadow ring lift apparatus | |
| US11424096B2 (en) | Temperature controlled secondary electrode for ion control at substrate edge | |
| KR20220001225U (ko) | 전기 화학적 표면 처리 장치 | |
| KR101555208B1 (ko) | 플라즈마 처리 장치 및 이에 이용되는 개폐 기구 | |
| KR20250049426A (ko) | 가스 냉각식 고전력 연결봉 | |
| KR20230092634A (ko) | 기판 처리 장치 |
Legal Events
| Date | Code | Title | Description |
|---|---|---|---|
| PA0105 | International application |
St.27 status event code: A-0-1-A10-A15-nap-PA0105 |
|
| PG1501 | Laying open of application |
St.27 status event code: A-1-1-Q10-Q12-nap-PG1501 |
|
| R17-X000 | Change to representative recorded |
St.27 status event code: A-3-3-R10-R17-oth-X000 |
|
| A201 | Request for examination | ||
| AMND | Amendment | ||
| P11-X000 | Amendment of application requested |
St.27 status event code: A-2-2-P10-P11-nap-X000 |
|
| P13-X000 | Application amended |
St.27 status event code: A-2-2-P10-P13-nap-X000 |
|
| PA0201 | Request for examination |
St.27 status event code: A-1-2-D10-D11-exm-PA0201 |
|
| E902 | Notification of reason for refusal | ||
| PE0902 | Notice of grounds for rejection |
St.27 status event code: A-1-2-D10-D21-exm-PE0902 |
|
| T11-X000 | Administrative time limit extension requested |
St.27 status event code: U-3-3-T10-T11-oth-X000 |
|
| AMND | Amendment | ||
| P11-X000 | Amendment of application requested |
St.27 status event code: A-2-2-P10-P11-nap-X000 |
|
| P13-X000 | Application amended |
St.27 status event code: A-2-2-P10-P13-nap-X000 |
|
| PE0601 | Decision on rejection of patent |
St.27 status event code: N-2-6-B10-B15-exm-PE0601 |
|
| AMND | Amendment | ||
| E13-X000 | Pre-grant limitation requested |
St.27 status event code: A-2-3-E10-E13-lim-X000 |
|
| J201 | Request for trial against refusal decision | ||
| P11-X000 | Amendment of application requested |
St.27 status event code: A-2-2-P10-P11-nap-X000 |
|
| P13-X000 | Application amended |
St.27 status event code: A-2-2-P10-P13-nap-X000 |
|
| PJ0201 | Trial against decision of rejection |
St.27 status event code: A-3-3-V10-V11-apl-PJ0201 |
|
| R17-X000 | Change to representative recorded |
St.27 status event code: A-3-3-R10-R17-oth-X000 |
|
| PB0901 | Examination by re-examination before a trial |
St.27 status event code: A-6-3-E10-E12-rex-PB0901 |
|
| B701 | Decision to grant | ||
| PB0701 | Decision of registration after re-examination before a trial |
St.27 status event code: A-3-4-F10-F13-rex-PB0701 |
|
| PR0701 | Registration of establishment |
St.27 status event code: A-2-4-F10-F11-exm-PR0701 |
|
| PR1002 | Payment of registration fee |
St.27 status event code: A-2-2-U10-U12-oth-PR1002 Fee payment year number: 1 |
|
| PG1601 | Publication of registration |
St.27 status event code: A-4-4-Q10-Q13-nap-PG1601 |
|
| PR1001 | Payment of annual fee |
St.27 status event code: A-4-4-U10-U11-oth-PR1001 Fee payment year number: 4 |
|
| PR1001 | Payment of annual fee |
St.27 status event code: A-4-4-U10-U11-oth-PR1001 Fee payment year number: 5 |
|
| PR1001 | Payment of annual fee |
St.27 status event code: A-4-4-U10-U11-oth-PR1001 Fee payment year number: 6 |
|
| PR1001 | Payment of annual fee |
St.27 status event code: A-4-4-U10-U11-oth-PR1001 Fee payment year number: 7 |
|
| PR1001 | Payment of annual fee |
St.27 status event code: A-4-4-U10-U11-oth-PR1001 Fee payment year number: 8 |
|
| P22-X000 | Classification modified |
St.27 status event code: A-4-4-P10-P22-nap-X000 |
|
| PR1001 | Payment of annual fee |
St.27 status event code: A-4-4-U10-U11-oth-PR1001 Fee payment year number: 9 |
|
| PR1001 | Payment of annual fee |
St.27 status event code: A-4-4-U10-U11-oth-PR1001 Fee payment year number: 10 |