KR101669470B1 - 금속 실리사이드층을 포함하는 반도체 소자 - Google Patents
금속 실리사이드층을 포함하는 반도체 소자 Download PDFInfo
- Publication number
- KR101669470B1 KR101669470B1 KR1020090097746A KR20090097746A KR101669470B1 KR 101669470 B1 KR101669470 B1 KR 101669470B1 KR 1020090097746 A KR1020090097746 A KR 1020090097746A KR 20090097746 A KR20090097746 A KR 20090097746A KR 101669470 B1 KR101669470 B1 KR 101669470B1
- Authority
- KR
- South Korea
- Prior art keywords
- layer
- metal silicide
- substrate
- region
- silicide layer
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/67—Thin-film transistors [TFT]
- H10D30/6729—Thin-film transistors [TFT] characterised by the electrodes
- H10D30/6737—Thin-film transistors [TFT] characterised by the electrodes characterised by the electrode materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/32051—Deposition of metallic or metal-silicide layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28035—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
- H01L21/28044—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
- H01L21/28052—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28035—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
- H01L21/28044—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
- H01L21/28061—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28518—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/2855—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/74—Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76805—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/601—Insulated-gate field-effect transistors [IGFET] having lightly-doped drain or source extensions, e.g. LDD IGFETs or DDD IGFETs
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/601—Insulated-gate field-effect transistors [IGFET] having lightly-doped drain or source extensions, e.g. LDD IGFETs or DDD IGFETs
- H10D30/608—Insulated-gate field-effect transistors [IGFET] having lightly-doped drain or source extensions, e.g. LDD IGFETs or DDD IGFETs having non-planar bodies, e.g. having recessed gate electrodes
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/67—Thin-film transistors [TFT]
- H10D30/674—Thin-film transistors [TFT] characterised by the active materials
- H10D30/6741—Group IV materials, e.g. germanium or silicon carbide
- H10D30/6743—Silicon
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/791—Arrangements for exerting mechanical stress on the crystal lattice of the channel regions
- H10D30/792—Arrangements for exerting mechanical stress on the crystal lattice of the channel regions comprising applied insulating layers, e.g. stress liners
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/20—Electrodes characterised by their shapes, relative sizes or dispositions
- H10D64/23—Electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. sources, drains, anodes or cathodes
- H10D64/251—Source or drain electrodes for field-effect devices
- H10D64/257—Source or drain electrodes for field-effect devices for lateral devices wherein the source or drain electrodes are characterised by top-view geometrical layouts, e.g. interdigitated, semi-circular, annular or L-shaped electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/01—Manufacture or treatment
- H10D30/021—Manufacture or treatment of FETs having insulated gates [IGFET]
- H10D30/0223—Manufacture or treatment of FETs having insulated gates [IGFET] having source and drain regions or source and drain extensions self-aligned to sides of the gate
- H10D30/0227—Manufacture or treatment of FETs having insulated gates [IGFET] having source and drain regions or source and drain extensions self-aligned to sides of the gate having both lightly-doped source and drain extensions and source and drain regions self-aligned to the sides of the gate, e.g. lightly-doped drain [LDD] MOSFET or double-diffused drain [DDD] MOSFET
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/01—Manufacture or treatment
- H10D64/021—Manufacture or treatment using multiple gate spacer layers, e.g. bilayered sidewall spacers
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/20—Electrodes characterised by their shapes, relative sizes or dispositions
- H10D64/23—Electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. sources, drains, anodes or cathodes
- H10D64/251—Source or drain electrodes for field-effect devices
- H10D64/256—Source or drain electrodes for field-effect devices for lateral devices wherein the source or drain electrodes are recessed in semiconductor bodies
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Electrodes Of Semiconductors (AREA)
- Semiconductor Memories (AREA)
Abstract
Description
Claims (20)
- 제1 상면을 가지는 제1 부분과 상기 제1 상면보다 낮게 리세스된 제2 상면을 가지는 제2 부분을 포함하는 기판과,상기 제1 부분 위에 형성된 게이트 스택과,상기 게이트 스택의 일측 하부에서 상기 제1 부분 내에 형성된 LDD (lightly doped drain) 접합 영역과,상기 게이트 스택의 일측 하부에서 상기 제2 부분 내에 형성되고 결정화된 실리콘층을 포함하는 소스/드레인 영역과,상기 소스/드레인 영역 내에 국부적으로 형성된 저저항 콘택 형성용 이온주입 영역과,상기 결정화된 실리콘층 상에서 상기 제2 상면에 접하여 상기 제2 상면을 따라 연장되고 상기 LDD 접합 영역에 접하는 끝부를 가지는 금속 실리사이드층과,상기 금속 실리사이드층 위에 형성된 절연막과,상기 절연막 및 상기 금속 실리사이드층을 관통하여 상기 저저항 콘택 형성용 이온주입 영역에 접하도록 연장되고, 상기 금속 실리사이드층에 접해 있는 라이너 형상의 제1 도전층과 상기 제1 도전층 위에 형성된 제2 도전층을 포함하는 콘택 플러그를 포함하는 것을 특징으로 하는 반도체 소자.
- 삭제
- 삭제
- 삭제
- 삭제
- 제1항에 있어서,상기 제2 부분 위에서 상기 금속 실리사이드층과 상기 절연막과의 사이에 연장되는 스트레스 콘트롤막을 더 포함하고,상기 콘택 플러그는 상기 스트레스 콘트롤막을 관통하여 연장되는 것을 특징으로 하는 반도체 소자.
- 삭제
- 제1항에 있어서,상기 제1 도전층과 상기 금속 실리사이드층은 동일한 금속을 포함하고,상기 제1 도전층은 Ti 및 TiN이 차례로 적층된 Ti/TiN막으로 이루어지고,상기 제2 도전층은 금속으로 이루어지는 것을 특징으로 하는 반도체 소자.
- 제1항에 있어서,상기 금속 실리사이드층은 5 ∼ 100 Å 의 두께를 가지는 것을 특징으로 하는 반도체 소자.
- 삭제
- 삭제
- 채널 영역을 가지는 기판과,상기 채널 영역 위에 형성된 게이트 스택과,상기 게이트 스택의 일측 하부에서 상기 채널 영역의 일측에 형성된 LDD (lightly doped drain) 접합 영역과,상기 기판 내에 형성되고 결정화된 실리콘층을 포함하고, 상기 LDD 접합 영역의 상면보다 낮은 상면을 가지는 소스/드레인 영역과,상기 소스/드레인 영역 내에 국부적으로 형성된 저저항 콘택 형성용 이온주입 영역과,상기 결정화된 실리콘층 상에서 상기 기판의 상면을 따라 연장되고 상기 LDD 접합 영역의 상면 및 상기 소스/드레인 영역의 상면에 각각 접하는 금속 실리사이드층과,상기 금속 실리사이드층 위에 형성된 절연막과,상기 절연막 및 상기 금속 실리사이드층을 관통하여 상기 저저항 콘택 형성용 이온주입 영역에 접하도록 연장되고, 상기 금속 실리사이드층에 접해 있는 라이너 형상의 제1 도전층과 상기 제1 도전층 위에 형성된 제2 도전층을 포함하는 콘택 플러그를 포함하는 것을 특징으로 하는 반도체 소자.
- 제12항에 있어서,상기 제1 도전층과 상기 금속 실리사이드층은 동일한 제1 금속을 포함하고,상기 제2 도전층은 상기 제1 금속과는 다른 제2 금속을 포함하는 것을 특징으로 하는 반도체 소자.
- 삭제
- 제12항에 있어서,상기 제1 도전층은 상기 절연막에 직접 접해 있고,상기 제2 도전층은 상기 제1 도전층을 사이에 두고 상기 절연막과 이격되어 있는 것을 특징으로 하는 반도체 소자.
- 삭제
- 삭제
- 제12항에 있어서,상기 기판상에서 상기 게이트의 양 측벽을 덮고 상기 소스/드레인 영역 위에서 상기 금속 실리사이드층을 덮도록 상기 기판 상에 연장되는 스트레스 콘트롤막을 더 포함하고,상기 콘택 플러그는 상기 스트레스 콘트롤막을 관통하여 연장되는 것을 특징으로 하는 반도체 소자.
- 제18항에 있어서,상기 금속 실리사이드층은 상기 스트레스 콘트롤막 및 상기 결정화된 실리콘층에 직접 접하고,상기 금속 실리사이드층은 상기 제1 도전층으로부터 상기 소스/드레인 영역의 상면 및 상기 LDD 접합 영역의 상면을 따라 연속적으로 연장되어 있는 것을 특징으로 하는 반도체 소자.
- 삭제
Priority Applications (8)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| KR1020090097746A KR101669470B1 (ko) | 2009-10-14 | 2009-10-14 | 금속 실리사이드층을 포함하는 반도체 소자 |
| US12/769,314 US8304819B2 (en) | 2009-10-14 | 2010-04-28 | Semiconductor device including metal silicide layer and method for manufacturing the same |
| DE102010037490A DE102010037490A1 (de) | 2009-10-14 | 2010-09-13 | Halbleitervorrichtung mit Metallsilizidschicht und Verfahren zum Herstellen derselben |
| TW099133731A TWI562237B (en) | 2009-10-14 | 2010-10-04 | Semiconductor device including metal silicide layer and method for manufacturing the same |
| JP2010224839A JP2011086934A (ja) | 2009-10-14 | 2010-10-04 | 金属シリサイド層を含む半導体素子及びその半導体素子の製造方法 |
| CN201010511468.9A CN102044424B (zh) | 2009-10-14 | 2010-10-14 | 包括金属硅化物层的半导体器件及其制造方法 |
| US13/611,783 US8890163B2 (en) | 2009-10-14 | 2012-09-12 | Semiconductor device including metal silicide layer and method for manufacturing the same |
| US14/518,581 US9245967B2 (en) | 2009-10-14 | 2014-10-20 | Semiconductor device including metal silicide layer and method for manufacturing the same |
Applications Claiming Priority (1)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| KR1020090097746A KR101669470B1 (ko) | 2009-10-14 | 2009-10-14 | 금속 실리사이드층을 포함하는 반도체 소자 |
Publications (2)
| Publication Number | Publication Date |
|---|---|
| KR20110040470A KR20110040470A (ko) | 2011-04-20 |
| KR101669470B1 true KR101669470B1 (ko) | 2016-10-26 |
Family
ID=43854141
Family Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| KR1020090097746A Active KR101669470B1 (ko) | 2009-10-14 | 2009-10-14 | 금속 실리사이드층을 포함하는 반도체 소자 |
Country Status (6)
| Country | Link |
|---|---|
| US (3) | US8304819B2 (ko) |
| JP (1) | JP2011086934A (ko) |
| KR (1) | KR101669470B1 (ko) |
| CN (1) | CN102044424B (ko) |
| DE (1) | DE102010037490A1 (ko) |
| TW (1) | TWI562237B (ko) |
Families Citing this family (55)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| CN102420188B (zh) * | 2011-06-07 | 2013-12-04 | 上海华力微电子有限公司 | 一种用于双刻蚀阻挡层技术的应变硅工艺制作方法 |
| US8691680B2 (en) * | 2011-07-14 | 2014-04-08 | Nanya Technology Corp. | Method for fabricating memory device with buried digit lines and buried word lines |
| FR2979480B1 (fr) * | 2011-08-25 | 2013-09-27 | Commissariat Energie Atomique | Procede de realisation d'un dispositif a transistors contraints par siliciuration des zones de source et de drain |
| FR2979482B1 (fr) | 2011-08-25 | 2013-09-27 | Commissariat Energie Atomique | Procede de realisation d'un dispositif a transistors contraints a l'aide d'une couche externe |
| US9252019B2 (en) * | 2011-08-31 | 2016-02-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method for forming the same |
| US8896066B2 (en) | 2011-12-20 | 2014-11-25 | Intel Corporation | Tin doped III-V material contacts |
| WO2013095375A1 (en) | 2011-12-20 | 2013-06-27 | Intel Corporation | Iii-v layers for n-type and p-type mos source-drain contacts |
| KR101801077B1 (ko) * | 2012-01-10 | 2017-11-27 | 삼성전자주식회사 | 매립 배선을 갖는 반도체 소자 형성 방법 및 관련된 소자 |
| US8679968B2 (en) * | 2012-05-15 | 2014-03-25 | Globalfoundries Singapore Pte. Ltd | Method for forming a self-aligned contact opening by a lateral etch |
| CN104254973A (zh) * | 2012-06-01 | 2014-12-31 | 英特尔公司 | 基于变压器的rf功率放大器 |
| US9105570B2 (en) | 2012-07-13 | 2015-08-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for introducing carbon to a semiconductor structure |
| CN103579262B (zh) * | 2012-08-07 | 2017-05-10 | 中芯国际集成电路制造(上海)有限公司 | 一种cmos图像传感器及其制备方法 |
| US8937369B2 (en) * | 2012-10-01 | 2015-01-20 | United Microelectronics Corp. | Transistor with non-uniform stress layer with stress concentrated regions |
| CN103730365B (zh) * | 2012-10-15 | 2018-01-23 | 联华电子股份有限公司 | 晶体管的结构及其制作方法 |
| KR20140089639A (ko) * | 2013-01-03 | 2014-07-16 | 삼성전자주식회사 | 가변 저항 메모리 장치 및 그 형성 방법 |
| US8796098B1 (en) * | 2013-02-26 | 2014-08-05 | Cypress Semiconductor Corporation | Embedded SONOS based memory cells |
| US8916469B2 (en) * | 2013-03-12 | 2014-12-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating copper damascene |
| US20140264632A1 (en) * | 2013-03-18 | 2014-09-18 | Globalfoundries Inc. | Semiconductor structure including a transistor having a layer of a stress-creating material and method for the formation thereof |
| CN103646884B (zh) * | 2013-11-08 | 2016-04-27 | 上海华力微电子有限公司 | 检测生产环境对金属连线腐蚀的方法 |
| US9449827B2 (en) * | 2014-02-04 | 2016-09-20 | International Business Machines Corporation | Metal semiconductor alloy contact resistance improvement |
| US10032876B2 (en) | 2014-03-13 | 2018-07-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact silicide having a non-angular profile |
| US20150372099A1 (en) * | 2014-06-19 | 2015-12-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact silicide formation using a spike annealing process |
| US9484250B2 (en) * | 2015-03-10 | 2016-11-01 | International Business Machines Corporation | Air gap contact formation for reducing parasitic capacitance |
| US9613974B2 (en) * | 2015-03-13 | 2017-04-04 | Kabushiki Kaisha Toshiba | Semiconductor device and method for manufacturing the same |
| WO2016147315A1 (ja) * | 2015-03-17 | 2016-09-22 | 浜松ホトニクス株式会社 | 蛍光画像生成装置及び蛍光画像生成方法 |
| US9779983B2 (en) * | 2015-05-28 | 2017-10-03 | Sandisk Technologies Llc | Methods for forming air gaps in shallow trench isolation trenches for NAND memory |
| KR102452290B1 (ko) * | 2015-09-04 | 2022-12-01 | 에스케이하이닉스 주식회사 | 반도체구조물 및 그 제조 방법 |
| US9607842B1 (en) * | 2015-10-02 | 2017-03-28 | Asm Ip Holding B.V. | Methods of forming metal silicides |
| KR102491069B1 (ko) * | 2015-12-03 | 2023-01-26 | 삼성전자주식회사 | 반도체 소자 |
| TWI619283B (zh) * | 2016-05-30 | 2018-03-21 | 旺宏電子股份有限公司 | 電阻式記憶體元件及其製作方法與應用 |
| US10217707B2 (en) | 2016-09-16 | 2019-02-26 | International Business Machines Corporation | Trench contact resistance reduction |
| US10164106B2 (en) * | 2016-12-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
| DE102017117800B4 (de) | 2016-12-29 | 2024-03-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleitervorrichtungen und Verfahren für ihre Herstellung |
| TWI718304B (zh) * | 2017-05-25 | 2021-02-11 | 聯華電子股份有限公司 | 半導體元件及其製作方法 |
| US10950498B2 (en) | 2017-05-31 | 2021-03-16 | Applied Materials, Inc. | Selective and self-limiting tungsten etch process |
| CN116546817A (zh) | 2017-05-31 | 2023-08-04 | 应用材料公司 | 3d-nand器件中用于字线分离的方法 |
| FR3068511B1 (fr) * | 2017-06-29 | 2020-03-13 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Procede de realisation de contact intermetallique a base de ni sur inxga1-xas |
| TWI741007B (zh) * | 2017-08-16 | 2021-10-01 | 聯華電子股份有限公司 | 內連線結構的製造方法 |
| US10453747B2 (en) * | 2017-08-28 | 2019-10-22 | Globalfoundries Inc. | Double barrier layer sets for contacts in semiconductor device |
| CN109585546A (zh) * | 2017-09-29 | 2019-04-05 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件及其形成方法 |
| US10763207B2 (en) | 2017-11-21 | 2020-09-01 | Samsung Electronics Co., Ltd. | Interconnects having long grains and methods of manufacturing the same |
| CN110610922B (zh) * | 2018-06-14 | 2021-10-26 | 华邦电子股份有限公司 | 接触结构及其形成方法 |
| KR102414957B1 (ko) * | 2018-06-15 | 2022-06-29 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
| US11742400B2 (en) * | 2018-08-14 | 2023-08-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field effect transistor (FinFET) device structure with deep contact structure |
| CN111261709B (zh) * | 2018-11-30 | 2024-08-30 | 长鑫存储技术有限公司 | 导电插塞结构、半导体器件及其形成方法 |
| CN110010701B (zh) | 2019-06-04 | 2019-09-17 | 成都京东方光电科技有限公司 | 薄膜晶体管和制作方法、阵列基板、显示面板、显示装置 |
| US11164782B2 (en) * | 2020-01-07 | 2021-11-02 | International Business Machines Corporation | Self-aligned gate contact compatible cross couple contact formation |
| US11776980B2 (en) * | 2020-03-13 | 2023-10-03 | Applied Materials, Inc. | Methods for reflector film growth |
| CN111640799B (zh) * | 2020-03-27 | 2022-02-08 | 福建省晋华集成电路有限公司 | 半导体结构及其形成方法 |
| US12074205B2 (en) * | 2020-05-07 | 2024-08-27 | Etron Technology, Inc. | Transistor structure and related inverter |
| US11825661B2 (en) | 2020-09-23 | 2023-11-21 | Taiwan Semiconductor Manufacturing Company Limited | Mobility enhancement by source and drain stress layer of implantation in thin film transistors |
| CN114975609A (zh) | 2021-02-24 | 2022-08-30 | 联华电子股份有限公司 | 横向双扩散的金属氧化物半导体场效晶体管及其制作方法 |
| TWI785992B (zh) * | 2022-02-23 | 2022-12-01 | 華邦電子股份有限公司 | 半導體結構及其製造方法 |
| US12119261B2 (en) | 2022-04-04 | 2024-10-15 | Winbond Electronics Corp. | Semiconductor structure and manufacturing method of the same |
| US20240194524A1 (en) * | 2022-12-08 | 2024-06-13 | Nanya Technology Corporation | Semiconductor device with a liner layer |
Citations (3)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP2007043177A (ja) | 2005-08-03 | 2007-02-15 | Samsung Electronics Co Ltd | 半導体素子の製造方法 |
| KR100841337B1 (ko) * | 2007-01-12 | 2008-06-26 | 삼성전자주식회사 | 반도체 소자 및 그 형성 방법 |
| KR100850172B1 (ko) | 2007-07-25 | 2008-08-04 | 주식회사 동부하이텍 | 반도체 소자 및 그의 제조 방법 |
Family Cites Families (47)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US4337476A (en) | 1980-08-18 | 1982-06-29 | Bell Telephone Laboratories, Incorporated | Silicon rich refractory silicides as gate metal |
| JP3156878B2 (ja) * | 1992-04-30 | 2001-04-16 | 株式会社東芝 | 半導体装置およびその製造方法 |
| KR100250687B1 (ko) | 1992-12-31 | 2000-04-01 | 김영환 | 트랜지스터 제조방법 |
| JPH07254574A (ja) * | 1994-03-16 | 1995-10-03 | Sony Corp | 電極形成方法 |
| JP2848333B2 (ja) * | 1995-07-28 | 1999-01-20 | 日本電気株式会社 | 半導体装置の製造方法 |
| US6440828B1 (en) * | 1996-05-30 | 2002-08-27 | Nec Corporation | Process of fabricating semiconductor device having low-resistive contact without high temperature heat treatment |
| JP3119190B2 (ja) | 1997-01-24 | 2000-12-18 | 日本電気株式会社 | 半導体装置の製造方法 |
| US6163055A (en) * | 1997-03-24 | 2000-12-19 | Semiconductor Energy Laboratory Co., Ltd | Semiconductor device and manufacturing method thereof |
| US6022782A (en) * | 1997-05-30 | 2000-02-08 | Stmicroelectronics, Inc. | Method for forming integrated circuit transistors using sacrificial spacer |
| JP3383933B2 (ja) * | 1997-11-20 | 2003-03-10 | 日本電気株式会社 | 半導体装置の製造方法 |
| US5900290A (en) * | 1998-02-13 | 1999-05-04 | Sharp Microelectronics Technology, Inc. | Method of making low-k fluorinated amorphous carbon dielectric |
| US6087209A (en) * | 1998-07-31 | 2000-07-11 | Advanced Micro Devices, Inc. | Formation of low resistance, ultra shallow LDD junctions employing a sub-surface, non-amorphous implant |
| JP2001156270A (ja) | 1999-11-29 | 2001-06-08 | Hitachi Ltd | 半導体集積回路装置およびその製造方法 |
| US6630721B1 (en) * | 2000-05-16 | 2003-10-07 | Advanced Micro Devices, Inc. | Polysilicon sidewall with silicide formation to produce high performance MOSFETS |
| TW546840B (en) | 2001-07-27 | 2003-08-11 | Hitachi Ltd | Non-volatile semiconductor memory device |
| JP2003077859A (ja) * | 2001-08-31 | 2003-03-14 | Fujitsu Ltd | 半導体装置及びその製造方法 |
| US6946371B2 (en) | 2002-06-10 | 2005-09-20 | Amberwave Systems Corporation | Methods of fabricating semiconductor structures having epitaxially grown source and drain elements |
| KR20040054139A (ko) | 2002-12-17 | 2004-06-25 | 주식회사 하이닉스반도체 | 반도체 소자의 제조 방법 |
| KR100588653B1 (ko) | 2002-12-30 | 2006-06-12 | 동부일렉트로닉스 주식회사 | 반도체 소자의 제조방법 |
| KR100560659B1 (ko) * | 2003-03-21 | 2006-03-16 | 삼성전자주식회사 | 상변화 기억 소자 및 그 제조 방법 |
| JP2005086179A (ja) | 2003-09-11 | 2005-03-31 | Seiko Epson Corp | 半導体装置および半導体装置の製造方法 |
| US20050124128A1 (en) * | 2003-12-08 | 2005-06-09 | Kim Hag D. | Methods for manufacturing semiconductor device |
| KR100572210B1 (ko) | 2003-12-08 | 2006-04-18 | 동부아남반도체 주식회사 | 반도체 소자의 제조 방법 |
| US7259110B2 (en) * | 2004-04-28 | 2007-08-21 | Semiconductor Energy Laboratory Co., Ltd. | Manufacturing method of display device and semiconductor device |
| KR20060016269A (ko) * | 2004-08-17 | 2006-02-22 | 삼성전자주식회사 | 금속 실리사이드막 형성 방법 및 이를 이용한 반도체소자의 금속배선 형성 방법 |
| JP2006114651A (ja) | 2004-10-14 | 2006-04-27 | Seiko Epson Corp | 半導体装置の製造方法 |
| US7736964B2 (en) * | 2004-11-22 | 2010-06-15 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device, and method for manufacturing the same |
| JP2006186180A (ja) * | 2004-12-28 | 2006-07-13 | Matsushita Electric Ind Co Ltd | 半導体装置およびその製造方法 |
| JP4493536B2 (ja) | 2005-03-30 | 2010-06-30 | 富士通マイクロエレクトロニクス株式会社 | 半導体装置及びその製造方法 |
| US7785947B2 (en) * | 2005-04-28 | 2010-08-31 | Semiconductor Energy Laboratory Co., Ltd. | Method for manufacturing semiconductor device comprising the step of forming nitride/oxide by high-density plasma |
| JP4664760B2 (ja) * | 2005-07-12 | 2011-04-06 | 株式会社東芝 | 半導体装置およびその製造方法 |
| US7358551B2 (en) | 2005-07-21 | 2008-04-15 | International Business Machines Corporation | Structure and method for improved stress and yield in pFETs with embedded SiGe source/drain regions |
| JP4909552B2 (ja) * | 2005-09-12 | 2012-04-04 | 旭硝子株式会社 | 電荷保持特性に優れた不揮発性半導体記憶素子の製造方法 |
| JP2007103837A (ja) * | 2005-10-07 | 2007-04-19 | Elpida Memory Inc | 非対称構造を有する電界効果型トランジスタを含む半導体装置およびその製造方法 |
| US20070099404A1 (en) | 2005-10-28 | 2007-05-03 | Sridhar Govindaraju | Implant and anneal amorphization process |
| US7709317B2 (en) | 2005-11-14 | 2010-05-04 | International Business Machines Corporation | Method to increase strain enhancement with spacerless FET and dual liner process |
| US7545006B2 (en) * | 2006-08-01 | 2009-06-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | CMOS devices with graded silicide regions |
| JP2008159650A (ja) * | 2006-12-21 | 2008-07-10 | Renesas Technology Corp | 半導体装置およびその製造方法 |
| KR101181389B1 (ko) * | 2007-02-27 | 2012-09-19 | 가부시키가이샤 알박 | 반도체 소자의 제조 방법 및 반도체 소자의 제조 장치 |
| US7851288B2 (en) * | 2007-06-08 | 2010-12-14 | International Business Machines Corporation | Field effect transistor using carbon based stress liner |
| JP2008311457A (ja) * | 2007-06-15 | 2008-12-25 | Renesas Technology Corp | 半導体装置の製造方法 |
| US7829416B2 (en) * | 2007-08-07 | 2010-11-09 | Panasonic Corporation | Silicon carbide semiconductor device and method for producing the same |
| JP2009278053A (ja) * | 2008-05-19 | 2009-11-26 | Renesas Technology Corp | 半導体装置およびその製造方法 |
| DE102008035816B4 (de) * | 2008-07-31 | 2011-08-25 | GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 | Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials |
| US20100078728A1 (en) * | 2008-08-28 | 2010-04-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Raise s/d for gate-last ild0 gap filling |
| US20120235244A1 (en) * | 2011-03-18 | 2012-09-20 | Institute of Microelectronics, Chinese Academy of Sciences | Semiconductor Structure and Method for Manufacturing the Same |
| CN102867748B (zh) * | 2011-07-06 | 2015-09-23 | 中国科学院微电子研究所 | 一种晶体管及其制作方法和包括该晶体管的半导体芯片 |
-
2009
- 2009-10-14 KR KR1020090097746A patent/KR101669470B1/ko active Active
-
2010
- 2010-04-28 US US12/769,314 patent/US8304819B2/en active Active
- 2010-09-13 DE DE102010037490A patent/DE102010037490A1/de not_active Withdrawn
- 2010-10-04 JP JP2010224839A patent/JP2011086934A/ja active Pending
- 2010-10-04 TW TW099133731A patent/TWI562237B/zh active
- 2010-10-14 CN CN201010511468.9A patent/CN102044424B/zh active Active
-
2012
- 2012-09-12 US US13/611,783 patent/US8890163B2/en active Active
-
2014
- 2014-10-20 US US14/518,581 patent/US9245967B2/en active Active
Patent Citations (3)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP2007043177A (ja) | 2005-08-03 | 2007-02-15 | Samsung Electronics Co Ltd | 半導体素子の製造方法 |
| KR100841337B1 (ko) * | 2007-01-12 | 2008-06-26 | 삼성전자주식회사 | 반도체 소자 및 그 형성 방법 |
| KR100850172B1 (ko) | 2007-07-25 | 2008-08-04 | 주식회사 동부하이텍 | 반도체 소자 및 그의 제조 방법 |
Also Published As
| Publication number | Publication date |
|---|---|
| US20110084320A1 (en) | 2011-04-14 |
| US8890163B2 (en) | 2014-11-18 |
| CN102044424A (zh) | 2011-05-04 |
| JP2011086934A (ja) | 2011-04-28 |
| CN102044424B (zh) | 2015-01-28 |
| US20130001576A1 (en) | 2013-01-03 |
| TWI562237B (en) | 2016-12-11 |
| TW201120960A (en) | 2011-06-16 |
| US20150035057A1 (en) | 2015-02-05 |
| KR20110040470A (ko) | 2011-04-20 |
| DE102010037490A1 (de) | 2011-08-25 |
| US8304819B2 (en) | 2012-11-06 |
| US9245967B2 (en) | 2016-01-26 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| KR101669470B1 (ko) | 금속 실리사이드층을 포함하는 반도체 소자 | |
| US9608077B1 (en) | Semiconductor structure and method for manufacturing the same | |
| US9966432B2 (en) | Semiconductor devices including an etch stop pattern and a sacrificial pattern with coplanar upper surfaces and a gate and a gap fill pattern with coplanar upper surfaces | |
| US7276751B2 (en) | Trench metal-insulator-metal (MIM) capacitors integrated with middle-of-line metal contacts, and method of fabricating same | |
| US8409953B2 (en) | Method of manufacturing a semiconductor device | |
| CN102097435B (zh) | 半导体器件及其制造方法 | |
| TW202220177A (zh) | 立體記憶體元件及其形成方法 | |
| KR101812036B1 (ko) | 금속 실리사이드층을 포함하는 반도체 소자 및 그 제조 방법 | |
| CN102222668A (zh) | 半导体器件及其形成方法 | |
| CN108878529B (zh) | 半导体器件及其制造方法 | |
| US12317506B2 (en) | Ferroelectric device and methods of fabrication thereof | |
| KR20160139301A (ko) | 스트레서를 가지는 반도체 소자 및 그 제조 방법 | |
| JP2012151435A (ja) | 半導体装置の製造方法 | |
| US20240371689A1 (en) | Transistor gate contacts | |
| KR20110106233A (ko) | 어레이 소자의 스페이서 보호 및 전기 배선 | |
| US20250311410A1 (en) | Dielectric walls for complementary field effect transistors | |
| US6380589B1 (en) | Semiconductor-on-insulator (SOI) tunneling junction transistor SRAM cell | |
| US6472265B1 (en) | Method for manufacturing embedded dynamic random access memory | |
| US12154949B2 (en) | Transistor contacts and methods of forming the same | |
| JP2003289131A (ja) | 半導体装置の製造方法 | |
| US20070269974A1 (en) | Methods for forming a metal contact in a semiconductor device in which an ohmic layer is formed while forming a barrier metal layer | |
| CN101136364A (zh) | 半导体装置与动态随机存取存储器的制造方法 | |
| US20230317469A1 (en) | Semiconductor Device and Methods of Forming the Same | |
| KR20100111378A (ko) | 콘택 플러그, 이를 포함하는 반도체 장치 및 이의 제조 방법 | |
| KR20250146417A (ko) | 반도체 장치 및 그 제조 방법 |
Legal Events
| Date | Code | Title | Description |
|---|---|---|---|
| PA0109 | Patent application |
Patent event code: PA01091R01D Comment text: Patent Application Patent event date: 20091014 |
|
| PG1501 | Laying open of application | ||
| A201 | Request for examination | ||
| PA0201 | Request for examination |
Patent event code: PA02012R01D Patent event date: 20140918 Comment text: Request for Examination of Application Patent event code: PA02011R01I Patent event date: 20091014 Comment text: Patent Application |
|
| E902 | Notification of reason for refusal | ||
| PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20151111 Patent event code: PE09021S01D |
|
| E902 | Notification of reason for refusal | ||
| PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20160525 Patent event code: PE09021S01D |
|
| E701 | Decision to grant or registration of patent right | ||
| PE0701 | Decision of registration |
Patent event code: PE07011S01D Comment text: Decision to Grant Registration Patent event date: 20160801 |
|
| GRNT | Written decision to grant | ||
| PR0701 | Registration of establishment |
Comment text: Registration of Establishment Patent event date: 20161020 Patent event code: PR07011E01D |
|
| PR1002 | Payment of registration fee |
Payment date: 20161021 End annual number: 3 Start annual number: 1 |
|
| PG1601 | Publication of registration | ||
| FPAY | Annual fee payment |
Payment date: 20190930 Year of fee payment: 4 |
|
| PR1001 | Payment of annual fee |
Payment date: 20190930 Start annual number: 4 End annual number: 4 |
|
| PR1001 | Payment of annual fee |
Payment date: 20210929 Start annual number: 6 End annual number: 6 |
|
| PR1001 | Payment of annual fee |
Payment date: 20220927 Start annual number: 7 End annual number: 7 |
|
| PR1001 | Payment of annual fee |
Payment date: 20240925 Start annual number: 9 End annual number: 9 |