[go: up one dir, main page]

TW202236594A - Interposer structure and method for manufacturing thereof - Google Patents

Interposer structure and method for manufacturing thereof Download PDF

Info

Publication number
TW202236594A
TW202236594A TW110139694A TW110139694A TW202236594A TW 202236594 A TW202236594 A TW 202236594A TW 110139694 A TW110139694 A TW 110139694A TW 110139694 A TW110139694 A TW 110139694A TW 202236594 A TW202236594 A TW 202236594A
Authority
TW
Taiwan
Prior art keywords
metal
interposer
interposer structure
capacitor
capacitive
Prior art date
Application number
TW110139694A
Other languages
Chinese (zh)
Other versions
TWI895531B (en
Inventor
文良 陳
Original Assignee
愛普科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/085,770 external-priority patent/US12317521B2/en
Application filed by 愛普科技股份有限公司 filed Critical 愛普科技股份有限公司
Publication of TW202236594A publication Critical patent/TW202236594A/en
Application granted granted Critical
Publication of TWI895531B publication Critical patent/TWI895531B/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Fixed Capacitors And Capacitor Manufacturing Machines (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

An interposer structure is provided. The interposer structure includes a plurality of interposer units in an array arrangement from a top view perspective. Each of the interposer units includes a first region and a plurality of second regions. The first region has a capacitor structure. Each of the plurality of second regions is free of the capacitor structure. The first region surrounds the plurality of second regions. A method for manufacturing an interposer structure is also provided.

Description

中介板結構及其製造方法Interposer structure and manufacturing method thereof

本揭露係有關於一種中介板結構及其製造方法,特別是所揭露的中介板結構具有複數個三維(3D)電容位於中段製程(middle-end-of-line,MOL/MEOL)結構當中。The present disclosure relates to an interposer structure and a manufacturing method thereof, in particular, the disclosed interposer structure has a plurality of three-dimensional (3D) capacitors located in a middle-end-of-line (MOL/MEOL) structure.

2.5D組裝是一種用於在單個封裝體中包含多個積體電路(IC)晶粒的封裝技術。這種方法通常使用在對性能和低功耗有高度需求的應用。在2.5D組裝的技術範疇中,IC晶粒之間的通訊是經由矽中介板或有機中介板所實現的。目前,奠基於對高性能應用的使用,以及對微型化和更高元件密度的需求持續增加,利用矽中介板的2.5D封裝技術正在廣泛發展當中。2.5D assembly is a packaging technique used to contain multiple integrated circuit (IC) dies in a single package. This approach is typically used in applications with high demands on performance and low power consumption. In the technical category of 2.5D assembly, the communication between IC dies is realized through a silicon interposer or an organic interposer. Currently, 2.5D packaging technology using silicon interposers is being widely developed based on the use of high-performance applications and the continued increase in demand for miniaturization and higher component density.

本揭露的一實施例係關於一種中介板結構。該中介板結構包含一基板部分、一線路部分、一互連部分、一通孔及一電容結構。該線路部分係設置於該基板部分上。該互連部分係設置於該線路部分上。該通孔穿透該基板部分及該線路部分。該電容結構係嵌於該線路部分中。該互連部分包含一第一金屬線路電性耦接於該電容結構。An embodiment of the disclosure relates to an interposer structure. The interposer structure includes a substrate part, a line part, an interconnection part, a through hole and a capacitor structure. The circuit part is arranged on the substrate part. The interconnection part is arranged on the line part. The through hole penetrates the substrate part and the circuit part. The capacitive structure is embedded in the circuit part. The interconnection part includes a first metal line electrically coupled to the capacitor structure.

本揭露的另一實施例係關於一種中介板結構。該中介板結構包含複數個中介板單元,其從一上視角度係呈陣列排列。每一該等中介板單元包含一第一區域及複數個第二區域。該第一區域具有一電容結構。每一該等第二區域不具有該電容結構。該第一區域係環繞該等第二區域。Another embodiment of the present disclosure relates to an interposer structure. The interposer structure includes a plurality of interposer units arranged in an array from a top view. Each of the interposer units includes a first area and a plurality of second areas. The first region has a capacitor structure. Each of the second regions does not have the capacitor structure. The first area surrounds the second areas.

本揭露的再一實施例係關於一種製造一中介板結構的方法。該方法包含步驟:形成一線路部分於一基板部分的一前側上;及於形成該線路部分期間,形成一電容結構於該線路部分中。且該電容結構係經由一動態隨機存取記憶體製程所形成。Yet another embodiment of the present disclosure relates to a method of manufacturing an interposer structure. The method comprises the steps of: forming a circuit part on a front side of a substrate part; and forming a capacitance structure in the circuit part during forming the circuit part. And the capacitance structure is formed through a dynamic random access memory process.

本申請案主張2020年10月30日申請之美國專利申請號第17/085,770號之優先權,該案之全部揭示內容以引用方式全部併入本揭露中。本申請案亦主張2021年6月11日申請之美國臨時專利案第63/209,923號之優先權,該案之全部揭示內容以引用方式全部併入本揭露中。This application claims priority to U.S. Patent Application No. 17/085,770, filed October 30, 2020, the entire disclosure of which is incorporated herein by reference in its entirety. This application also claims priority to U.S. Provisional Patent Application No. 63/209,923, filed June 11, 2021, the entire disclosure of which is incorporated by reference in its entirety into this disclosure.

以下揭露內容提供用於實施本揭露之不同特徵之許多不同實施例或實例。下文描述組件及配置之特定實例以簡化本揭露。當然,此等僅為實例且不旨在限制。舉例而言,在下列描述中,第一構件形成於第二構件上方或第一構件形成於第二構件之上,可包含該第一構件及該第二構件直接接觸之實施例,且亦可包含額外構件形成在該第一構件與該第二構件之間之實施例,使得該第一構件及該第二構件可不直接接觸之實施例。另外,本揭露可在各種實例中重複元件符號及/或字母。此重複出於簡化及清楚之目的,且本身不代表所論述之各項實施例及/或組態之間的關係。The following disclosure provides many different embodiments, or examples, for implementing different features of the disclosure. Specific examples of components and configurations are described below to simplify the present disclosure. Of course, these are examples only and are not intended to be limiting. For example, in the following description, the first member is formed on the second member or the first member is formed on the second member, may include an embodiment in which the first member and the second member are in direct contact, and may also Embodiments comprising an additional member formed between the first member and the second member such that the first member and the second member may not be in direct contact. In addition, the present disclosure may repeat element symbols and/or letters in various examples. This repetition is for simplicity and clarity and does not in itself represent a relationship between the various embodiments and/or configurations discussed.

此外,為便於描述,可在本揭露中使用諸如「在…下面」、「在…下方」、「下」、「在…上方」、「上」及類似者之空間相對術語來描述一個元件或構件與另一(些)元件或構件之關係,如圖中繪示。空間相對術語旨在涵蓋除在圖中描繪之定向以外之使用或操作中之裝置之不同定向。該裝置可以有其他定向(旋轉90度或按其他定向),同樣可以相應地用來解釋本揭露中使用之空間相對描述詞。In addition, for ease of description, spatially relative terms such as "under", "under", "below", "above", "on" and the like may be used in the present disclosure to describe an element or The relationship of a component to another element(s) or component, as shown in the figures. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used in this disclosure interpreted accordingly.

如本揭露中使用諸如「第一」、「第二」及「第三」之術語描述各種元件、組件、區、層及/或區段,此等元件、組件、區、層及/或區段不應受此等術語限制。此等術語可僅用來區分一個元件、組件、區、層或區段與另一元件、組件、區、層或區段。除非由上下文清楚指示,否則諸如「第一」、「第二」及「第三」之術語當在本揭露中使用時並不暗示一序列或順序。If terms such as "first", "second" and "third" are used in this disclosure to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or regions Sections should not be limited by these terms. These terms may be only used to distinguish one element, component, region, layer or section from another element, component, region, layer or section. Terms such as "first," "second," and "third" when used in the present disclosure do not imply a sequence or order unless clearly indicated by the context.

圖1展示了一中介板結構,其包含複數個3D電容嵌於中介板結構的一MOL/MOEL結構當中。如圖所示,中介板結構10包含一基板部分100,此基板部分100具有一前側100A以及相對於前側100A的一背側100B。中介板結構10進一步包含一線路部分102位於基板部分100的前側100A上。FIG. 1 shows an interposer structure, which includes a plurality of 3D capacitors embedded in a MOL/MOEL structure of the interposer structure. As shown, the interposer structure 10 includes a substrate portion 100 having a front side 100A and a back side 100B opposite to the front side 100A. The interposer structure 10 further includes a circuit portion 102 located on the front side 100A of the substrate portion 100 .

在一些實施例中,基板部分100是用以容置半導體裝置組件的一半導體晶圓。在一些實施例中,基板部分100是用以將寄生電阻和電感最小化的一絕緣基板。在一些實施例中,基板部分100是由矽或玻璃所構成。在一些實施例中,基板部分100中包含主動或被動裝置組件,舉例而言,裝置組件可包含記憶體結構或功率調節器。In some embodiments, the substrate portion 100 is a semiconductor wafer for accommodating semiconductor device components. In some embodiments, the substrate portion 100 is an insulating substrate to minimize parasitic resistance and inductance. In some embodiments, the substrate portion 100 is made of silicon or glass. In some embodiments, the substrate portion 100 includes active or passive device components. For example, the device components may include memory structures or power regulators.

現有的電容結構可以被形成在中介板結構的基板部分,其中於基板部分100可形成複數個溝槽。每個溝槽(或所謂的深溝槽(deep trench))可具有介於約10微米至約30微米,或是介於約20微米至約30微米的深度。然而,溝槽的深度在一定程度上弱化了中介板結構的基板部分的機械強度。另一方面,相較於材料為半導體的例子,在基板部分是由絕緣材料所製成的情況中,形成深溝槽的經濟效率會更低,從而並不具有吸引力。The existing capacitor structure can be formed on the substrate portion of the interposer structure, wherein a plurality of trenches can be formed on the substrate portion 100 . Each trench (or so-called deep trench) may have a depth between about 10 microns and about 30 microns, or between about 20 microns and about 30 microns. However, the depth of the groove weakens the mechanical strength of the substrate portion of the interposer structure to a certain extent. On the other hand, in the case where the substrate is partially made of an insulating material, compared to the case where the material is a semiconductor, the economical efficiency of forming a deep trench is lower and thus not attractive.

因此,在本揭露的一個面向中,目的在於改變中介板結構10的基板部分100的上方的層的結構,以解決現有的深溝槽電容結構所帶來的問題,並且有效利用中介板結構10當中的空間。仍參考圖1,線路部分102是位於基板部分100的前側100A上。線路部分102是在一半導體結構中形成一第一金屬層(M1)「之前」所形成的結構;意即,線路部分102被稱為中段製程(MOL/MEOL)結構,其係早於後段製程(back-end-of-line (BEOL))結構所形成。在一些實施例中,線路部分102是由介電材料製成,其可被稱為金屬前介電質(pre-metal dielectric,PMD)。換言之,線路部分102可以透過諸如基本材料的選擇、金屬的選擇等多種製程參數的不同,而被與位於下方的基板部分100及位於其上方的BEOL結構區分開來。舉例而言,線路部分102的材料可為低k介電材料,即其介電常數相較於二氧化矽來得較小;類似地,在線路部分102當中用以作電連接的金屬通常是鎢,而BEOL結構中通常使用的金屬則是銅。這些是區分中介板結構10當中的堆疊層的幾種示例性方法,但本揭露的實施例並不限於此等例示。Therefore, in one aspect of the present disclosure, the purpose is to change the structure of the layers above the substrate portion 100 of the interposer structure 10 to solve the problems caused by the existing deep trench capacitor structure, and to effectively utilize the interposer structure 10 Space. Still referring to FIG. 1 , the wiring portion 102 is located on the front side 100A of the substrate portion 100 . Line portion 102 is a structure formed “before” forming a first metal layer (M1) in a semiconductor structure; that is, line portion 102 is referred to as a mid-end-of-line (MOL/MEOL) structure, which is earlier than back-end-of-line (back-end-of-line (BEOL)) structure formed. In some embodiments, the line portion 102 is made of a dielectric material, which may be called a pre-metal dielectric (PMD). In other words, the line portion 102 can be distinguished from the underlying substrate portion 100 and the BEOL structure above it through various process parameters such as selection of basic materials and metal selection. For example, the material of the circuit part 102 can be a low-k dielectric material, that is, its dielectric constant is smaller than that of silicon dioxide; similarly, the metal used for electrical connection in the circuit part 102 is usually tungsten , and the metal usually used in the BEOL structure is copper. These are a few exemplary methods of distinguishing stacked layers in the interposer structure 10, but embodiments of the present disclosure are not limited to these exemplary methods.

在一些實施例中,複數個電容結構106係嵌於線路部分102中。在一些實施例中,電容結構106可為3D的金屬-絕緣層-金屬(MIM)電容,這種非平面的結構可以用於增加有效的MIM面積和相應的電容密度。在一些實施例中,本揭露的電容結構可具有非常高的密度,例如高於約1微法拉/平方毫米(μF/mm 2)。在一些實施例中,3D電容可為圓柱電容。 In some embodiments, a plurality of capacitive structures 106 are embedded in the circuit portion 102 . In some embodiments, the capacitor structure 106 can be a 3D metal-insulator-metal (MIM) capacitor, and this non-planar structure can be used to increase the effective MIM area and corresponding capacitor density. In some embodiments, the capacitive structures of the present disclosure can have a very high density, for example, greater than about 1 microfarad/square millimeter (μF/mm 2 ). In some embodiments, the 3D capacitor can be a cylindrical capacitor.

如圖2所示,在一些實施例中,每個電容結構包含一金屬底板108、一金屬頂板110位於金屬底板108上,以及複數個電容單元112形成於金屬底板108及金屬頂板110之間。在一些實施例中,金屬底板108及金屬頂板110之間的一間距D1係介於約1微米至約2微米,這個間距D1比前述形成於基板部分100的深溝槽中的主動或被動裝置組件示例要薄得多。As shown in FIG. 2 , in some embodiments, each capacitor structure includes a metal bottom plate 108 , a metal top plate 110 on the metal bottom plate 108 , and a plurality of capacitor units 112 formed between the metal bottom plate 108 and the metal top plate 110 . In some embodiments, a distance D1 between the metal bottom plate 108 and the metal top plate 110 is between about 1 micron and about 2 microns, which is larger than the aforementioned active or passive device components formed in the deep trenches of the substrate portion 100. Examples are much thinner.

電容單元112的配置可為具有一冠型(crown-type)電容結構或一凹型(concave-type)電容結構。如圖2所示,在每個電容單元112係形成冠型的實施例中,電容單元112包含一第一導電膜114及一第二導電膜116堆疊於金屬底板108及金屬頂板110間。在一些實施例中,第一導電膜114包含一第一部分114A連接至金屬底板108,以及一第二部分114B連接至第一部分114A並且自金屬底板108向金屬頂板110延伸。在一些實施例中,第二導電膜116係經設置而相鄰於第一導電膜114並且連接金屬頂板110,以及自金屬頂板110向金屬底板108延伸。在一些實施例中,第二導電膜116係垂直地與第一導電膜114的第二部分114B交錯。舉例而言,如剖視圖所示,第二導電膜116係被設置而相鄰於一容置空間104的內側及外側。此容置空間104係被第一導電膜114所環繞。The configuration of the capacitor unit 112 may have a crown-type capacitor structure or a concave-type capacitor structure. As shown in FIG. 2 , in the embodiment where each capacitor unit 112 is crown-shaped, the capacitor unit 112 includes a first conductive film 114 and a second conductive film 116 stacked between the metal bottom plate 108 and the metal top plate 110 . In some embodiments, the first conductive film 114 includes a first portion 114A connected to the metal bottom plate 108 , and a second portion 114B connected to the first portion 114A and extending from the metal bottom plate 108 to the metal top plate 110 . In some embodiments, the second conductive film 116 is disposed adjacent to the first conductive film 114 and connected to the metal top plate 110 , and extends from the metal top plate 110 to the metal bottom plate 108 . In some embodiments, the second conductive film 116 is vertically interleaved with the second portion 114B of the first conductive film 114 . For example, as shown in the cross-sectional view, the second conductive film 116 is disposed adjacent to the inner side and the outer side of an accommodating space 104 . The accommodating space 104 is surrounded by the first conductive film 114 .

此外,電容單元112還包含一第一絕緣膜128,其用以隔離第一導電膜114及第二導電膜116。換言之,電容結構106的MIM特徵是由堆疊第一導電膜114、第一絕緣膜128及第二導電膜116所實現。如圖2所示,在一些實施例中,一第二絕緣膜130可選擇性地被用於填充第二導電膜116及金屬頂板110之間的空間。在一些實施例中,第一絕緣膜128及第二絕緣膜130係由高k介電材料所構成。舉例而言,高k介電材料可包含鑭(La)、鉿(Hf)和鋯(Zr)等金屬的氧化物中的至少一種。In addition, the capacitor unit 112 further includes a first insulating film 128 for isolating the first conductive film 114 and the second conductive film 116 . In other words, the MIM feature of the capacitor structure 106 is realized by stacking the first conductive film 114 , the first insulating film 128 and the second conductive film 116 . As shown in FIG. 2 , in some embodiments, a second insulating film 130 is optionally used to fill the space between the second conductive film 116 and the metal top plate 110 . In some embodiments, the first insulating film 128 and the second insulating film 130 are made of high-k dielectric material. For example, the high-k dielectric material may include at least one of oxides of metals such as lanthanum (La), hafnium (Hf), and zirconium (Zr).

如圖3所示,在其他實施例中,電容單元112係形成為凹型,此電容單元112亦包含第一導電膜114及第二導電膜116堆疊於金屬底板108及金屬頂板110間。在該等實施例中,第二導電膜116係經形成而相鄰於第一導電膜114及連接金屬頂板110,且自金屬頂板110向金屬底板108延伸。相較於圖2所示的冠型,圖3所示實施例的第二導電膜116在每個凹型電容單元112中,係被第一導電膜114的第二部分114B所橫向環繞,而不是完全地與被第一導電膜114環繞的容置空間104的內側及外側相鄰。As shown in FIG. 3 , in other embodiments, the capacitor unit 112 is formed in a concave shape, and the capacitor unit 112 also includes a first conductive film 114 and a second conductive film 116 stacked between the metal bottom plate 108 and the metal top plate 110 . In these embodiments, the second conductive film 116 is formed adjacent to the first conductive film 114 and connected to the top metal plate 110 , and extends from the top metal plate 110 to the bottom metal plate 108 . Compared with the crown shape shown in FIG. 2, the second conductive film 116 of the embodiment shown in FIG. It is completely adjacent to the inner side and the outer side of the accommodating space 104 surrounded by the first conductive film 114 .

圖4A、4B係依據本揭露一些實施例的複數個電容單元112(凹型)的上視圖。如圖4A所示,在一些實施例中,複數個電容單元112可在電容的金屬板(即前揭圖2、3所示的金屬底板108及金屬頂板110) 之間被排列為從上視角度呈現矩形陣列。或者,在其他實施例中,如圖4B所示,電容單元112可在電容的金屬板之間被排列為從上視角度呈現六邊形陣列。一般來說,六邊形陣列排列可以提供一更高密度的電容單元112群集。本揭露的電容單元112的排列不限於圖4A、4B的實施例,因為電容器單元112可視需要而被排列為任何的對稱形狀。4A and 4B are top views of a plurality of capacitor units 112 (concave type) according to some embodiments of the present disclosure. As shown in FIG. 4A, in some embodiments, a plurality of capacitive units 112 can be arranged between the metal plates of the capacitor (ie, the metal bottom plate 108 and the metal top plate 110 shown in FIGS. 2 and 3) as viewed from above. The angles are presented as a rectangular array. Alternatively, in other embodiments, as shown in FIG. 4B , the capacitor units 112 may be arranged between the metal plates of the capacitor in a hexagonal array viewed from above. In general, a hexagonal array arrangement can provide a higher density cluster of capacitor units 112 . The arrangement of the capacitor units 112 of the present disclosure is not limited to the embodiments shown in FIGS. 4A and 4B , because the capacitor units 112 can be arranged in any symmetrical shape as required.

如前揭圖1至圖3所示的實施例,嵌於線路部分102中的電容結構106在金屬底板108及金屬頂板110上,並不具有直接接觸金屬底板108及金屬頂板110的金屬接觸(metal contact)。在另將中介板結構10提供給下游製造商進一步加工的情況下,例如,在線路部分102上形成一互連部分(這將在後面討論),那麼此時要將金屬接觸完美地落於金屬底板108和金屬頂板110的表面上,實則存在一定的難度。As in the embodiments shown in FIGS. 1 to 3, the capacitive structure 106 embedded in the circuit portion 102 is on the metal bottom plate 108 and the metal top plate 110, and does not have metal contacts that directly contact the metal bottom plate 108 and the metal top plate 110 ( metal contact). In the case of providing the interposer structure 10 to the downstream manufacturer for further processing, for example, forming an interconnection part on the line part 102 (this will be discussed later), then at this time, the metal contact must be perfectly placed on the metal On the surface of the bottom plate 108 and the metal top plate 110, there are actually certain difficulties.

詳言之,參考圖5所示的實施例,中介板結構10可進一步包含一第一金屬接觸118及一第二金屬接觸120。第一金屬接觸118係直接落於金屬頂板110的頂面,且第二金屬接觸120係直接落於金屬底板108不被金屬頂板110覆蓋的一非覆蓋區域122。在該實施例中,第一金屬接觸118及第二金屬接觸120的垂直長度不同。In detail, referring to the embodiment shown in FIG. 5 , the interposer structure 10 may further include a first metal contact 118 and a second metal contact 120 . The first metal contact 118 directly lands on the top surface of the metal top plate 110 , and the second metal contact 120 directly lands on an uncovered area 122 of the metal bottom plate 108 not covered by the metal top plate 110 . In this embodiment, the vertical lengths of the first metal contact 118 and the second metal contact 120 are different.

金屬底板108和金屬頂板110與線路部分102頂面之間的間距差(即間距D2、D3之間的差異),可能導致第一和第二金屬接觸118、120的落位(landing)相對複雜。尤其是先前提及的下游製造商必須精確地定位出金屬底板108不被金屬頂板110覆蓋的非覆蓋區域122,而此非覆蓋區域122的面積係遠小於頂部金屬板110的頂面的面積,因此,定位操作可能會失敗,且金屬接觸可能會發生不想要的偏移。The distance difference between the metal bottom plate 108 and the metal top plate 110 and the top surface of the line part 102 (ie, the difference between the distances D2 and D3 ) may cause relatively complicated landing of the first and second metal contacts 118 and 120 . In particular, the previously mentioned downstream manufacturer must accurately locate the non-covered area 122 where the metal bottom plate 108 is not covered by the metal top plate 110, and the area of this non-covered area 122 is much smaller than the area of the top surface of the top metal plate 110, As a result, the positioning operation may fail and the metal contacts may shift undesirably.

因此,在其他實施例中,本揭露所提供的中介板結構10可進一步包含複數個電容電極結構124位於金屬底板108及金屬頂板110上。如圖6所示,中介板結構10可包含一第一電容電極結構124A接觸金屬底板108的非覆蓋區域122,及一第二電容電極結構124B接觸金屬頂板110的頂面。在該等實施例中,第一電容電極結構124A及第二電容電極結構124B可提供一共面接觸表面126於電容結構106上,用於讓金屬接觸能夠接觸及落位。意即,在該等實施例中,第一金屬接觸118及第二金屬接觸120的垂直長度係實質相同。在一些實施例中,每個第一電容電極結構124A或每個第二電容電極結構124B包含由一電容接觸及一電容墊片所構成的一組合。在一些實施例中,第一電容電極結構124A的高度係大於第二電容電極結構124B的高度。Therefore, in other embodiments, the interposer structure 10 provided in the present disclosure may further include a plurality of capacitive electrode structures 124 located on the metal bottom plate 108 and the metal top plate 110 . As shown in FIG. 6 , the interposer structure 10 may include a first capacitor electrode structure 124A contacting the non-covered area 122 of the metal bottom plate 108 , and a second capacitor electrode structure 124B contacting the top surface of the metal top plate 110 . In these embodiments, the first capacitive electrode structure 124A and the second capacitive electrode structure 124B can provide a coplanar contact surface 126 on the capacitive structure 106 for contacting and landing of metal contacts. That is, in these embodiments, the vertical lengths of the first metal contact 118 and the second metal contact 120 are substantially the same. In some embodiments, each first capacitive electrode structure 124A or each second capacitive electrode structure 124B includes a combination of a capacitive contact and a capacitive spacer. In some embodiments, the height of the first capacitive electrode structure 124A is greater than the height of the second capacitive electrode structure 124B.

另一方面,第一電容電極結構124A可具有一頂面積大於金屬底板108的非覆蓋區域122的面積,因此相較於落位在非覆蓋區域122,第一金屬接觸118可以更容易地落位於第一電容電極結構124A,並且提高產品的良率。On the other hand, the first capacitive electrode structure 124A may have a top area larger than that of the non-covered area 122 of the metal base plate 108, so that the first metal contact 118 may be more easily placed on the non-covered area 122 than the non-covered area 122. The first capacitive electrode structure 124A, and improve the yield of products.

在一些實施中,除了電容結構106,中介板結構10可進一步於線路部分102當中包含一記憶體結構,因為電容結構106及記憶體結構都可經由一兼容的動態隨機存取記憶體(DRAM)製程所形成,這意味著電容結構106及記憶體結構可以採用兼容於通用的DRAM製造程序的半導體製程而形成,從而可以實現高密度、厚度薄化及低成本等目的。在通用的DRAM半導體製程技術中,無需利用形成於矽基板表面下的結構(如深溝槽電容),就可以形成電容,並且也非常適合於減少電容裝置的垂直尺寸。另外,透過導入通用的DRAM半導體製程技術,製程研發成本也可以減少。形成於線路部分102當中的記憶體結構可用於作為被設置於中介板結構10上方的晶粒的快取。In some implementations, in addition to the capacitor structure 106, the interposer structure 10 may further include a memory structure in the circuit portion 102, because both the capacitor structure 106 and the memory structure can pass through a compatible dynamic random access memory (DRAM) process, which means that the capacitor structure 106 and the memory structure can be formed by using a semiconductor process compatible with the general DRAM manufacturing process, so that high density, thinner thickness and low cost can be achieved. In common DRAM semiconductor process technology, capacitors can be formed without using structures formed under the surface of the silicon substrate (such as deep trench capacitors), and are also very suitable for reducing the vertical size of capacitor devices. In addition, by introducing general-purpose DRAM semiconductor process technology, process R&D costs can also be reduced. The memory structure formed in the line portion 102 can be used as a cache for the die disposed above the interposer structure 10 .

圖7係根據本揭露一些實施例的中介板結構10的布局的上視圖。在如圖7所示的實施例中,中介板結構10包含複數個中介板單元20該等中介板單元20於一上視圖中係為陣列排列。每個中介板單元20包含一第一區域202及複數個第二區域204。在一些實施例中,第一區域202可於一上視圖中具有一網格(mesh)特徵,因為該等第二區域204的形狀係實質上相同。第二區域204係被排為陣列,或是以一個周期性的方式分布於中介板單元20當中。在一些實施中,第一區域202係環繞每一個第二區域204。在一些實施例中,中介板結構10係以一種承載有多個如圖7所示的中介板單元20的半導體晶圓的形式存在。FIG. 7 is a top view of the layout of the interposer structure 10 according to some embodiments of the present disclosure. In the embodiment shown in FIG. 7 , the interposer structure 10 includes a plurality of interposer units 20 , and the interposer units 20 are arranged in an array in a top view. Each interposer unit 20 includes a first area 202 and a plurality of second areas 204 . In some embodiments, the first region 202 may have a mesh feature in a top view because the shapes of the second regions 204 are substantially the same. The second regions 204 are arranged in an array, or distributed in the interposer unit 20 in a periodic manner. In some implementations, the first region 202 surrounds each second region 204 . In some embodiments, the interposer structure 10 is in the form of a semiconductor wafer carrying a plurality of interposer units 20 as shown in FIG. 7 .

中介板單元20的第一區域202係用於容置電容結構106,而每個第二區域204則是被保留用於在其中形成通孔(through via),例如矽通孔(through silicon via,TSV)。意即,每個第二區域204是用於容置穿透中介板結構的一通孔。由於僅有部分區域(即第二區域204)被保留用於形成TSV,因此中介板單元20的其餘部分可填充3D電容,以有效利用中介板單元20的線路部分102(即MOL/MOEL結構)的空間。在一些實施例中,電容結構106可於一上視角度覆蓋超過一半的中介板結構10。在一些實施例中,第一區域202於中介板單元20其中之一者的一面積比例係超過50%。在一些實施例中,第一區域202於中介板單元20其中之一者的一面積比例係介於約50%至約80%。The first region 202 of the interposer unit 20 is used to accommodate the capacitor structure 106, and each second region 204 is reserved for forming a through via therein, such as a through silicon via (TSV). TSV). That is, each second region 204 is used for accommodating a through hole penetrating through the interposer structure. Since only a part of the area (i.e., the second area 204) is reserved for forming TSVs, the rest of the interposer unit 20 can be filled with 3D capacitors to effectively utilize the line portion 102 of the interposer unit 20 (i.e., the MOL/MOEL structure). Space. In some embodiments, the capacitive structure 106 can cover more than half of the interposer structure 10 at a top view angle. In some embodiments, an area ratio of the first region 202 to one of the interposer units 20 exceeds 50%. In some embodiments, an area ratio of the first region 202 to one of the interposer units 20 is about 50% to about 80%.

在一些實施例中,相鄰的第二區域204之間的一節距P係小於約100微米。在一些實施例中,中介板單元20其中之一者的一側邊的一長度L係介於約0.5毫米至1毫米。此外,如圖7所示,相鄰的中介板單元20是被切割道206所隔開。切割道206容許任意數量的中介板單元20經由沿著合適的切割道206切割而被分為不同群組,舉例而言,2乘2的中介板單元20可經由切割而被用於與第一種類型的半導體晶粒或晶粒堆疊鍵合,4乘4的中介板單元20可經由切割而被用於與第二種類型的半導體晶粒或晶粒堆疊鍵合。中介板單元20的陣列排列可提供模組化的產品幾何形狀,以適用於各種類型的中介板應用。切割道206的寬度係足以讓中介板結構被切割為多個區塊單元,每個區塊單元包含一或多個中介板單元20。單個區塊單元當中的多個中介板單元20可被排列為一排或是一陣列,區塊單元的尺寸係取決於單個區塊單元上將會鍵合的IC晶粒的尺寸和數量。換句話說,因為中介板結構的尺寸係與2.5D封裝技術的目的高度相關,因此IC封裝業者可靈活地使用本揭露的中介板結構10,以將中介板結構10切割為能夠符合其IC封裝需求的理想尺寸。In some embodiments, a pitch P between adjacent second regions 204 is less than about 100 microns. In some embodiments, a length L of a side of one of the interposer units 20 is about 0.5 mm to 1 mm. In addition, as shown in FIG. 7 , adjacent interposer units 20 are separated by cutting lines 206 . Cutting lanes 206 allow any number of interposer units 20 to be divided into different groups by cutting along appropriate cutting lanes 206, for example, 2 by 2 interposer units 20 can be cut for use with a first For one type of semiconductor die or die stack bonding, the 4×4 interposer unit 20 can be used for bonding with a second type of semiconductor die or die stack via dicing. The array arrangement of the interposer units 20 can provide a modular product geometry suitable for various types of interposer applications. The width of the cutting line 206 is sufficient to allow the interposer structure to be cut into a plurality of block units, and each block unit includes one or more interposer units 20 . A plurality of interposer units 20 in a single block unit can be arranged in a row or an array, and the size of the block unit depends on the size and number of IC dies to be bonded on the single block unit. In other words, since the size of the interposer structure is highly related to the purpose of the 2.5D packaging technology, IC packaging companies can flexibly use the disclosed interposer structure 10 to cut the interposer structure 10 to fit their IC packaging The ideal size for your needs.

參考圖8A,在中介板結構是已被應用在經封裝技術處理後的半導體結構的情形中,中介板結構30可包含一互連部分300於線路部分102上。藉此,電容結構106於剖視角度係位於中介板結構30的基板部分100及互連部分300之間。通常,互連部分300是用以與中介板結構30上的一或多個半導體晶粒80鍵合,而基板部分100則是用以與中介板結構30下的一封裝基板90鍵合。中介板結構30上的半導體晶粒80具有一臨界尺寸小於中介板結構30的一臨界尺寸。在一些實施例中,互連部分300被稱為MOL/MEOL結構上的BEOL結構,因此互連部分300包含一第一金屬層302,其與線路部分102的頂面直接接觸。在互連部分300中,除了第一金屬層(M1)302之外,還可包含更多個金屬階層,例如M2、M3等。這些金屬階層或金屬層可經由金屬通孔而被電性耦接,且最上方的金屬層可提供用以與待封裝晶片/晶粒連接的鍵合點位。以一種方式來說,在一些實施例中,互連部分300內形成有複數條金屬線路,其中每條金屬線路可以由金屬通孔及一或多個金屬層(即M1、M2、M3等)所構成,並且金屬線路的佈置可以用於決定半導體晶粒80和封裝基板90之間的連接。Referring to FIG. 8A , in the case where the interposer structure is applied to a semiconductor structure processed by packaging technology, the interposer structure 30 may include an interconnection portion 300 on the circuit portion 102 . Accordingly, the capacitor structure 106 is located between the substrate portion 100 and the interconnection portion 300 of the interposer structure 30 in a cross-sectional view. Generally, the interconnection part 300 is used for bonding with one or more semiconductor dies 80 on the interposer structure 30 , and the substrate part 100 is used for bonding with a packaging substrate 90 under the interposer structure 30 . The semiconductor die 80 on the interposer structure 30 has a critical dimension smaller than that of the interposer structure 30 . In some embodiments, the interconnection portion 300 is referred to as a BEOL-on-MOL/MEOL structure, so the interconnection portion 300 includes a first metal layer 302 directly contacting the top surface of the wiring portion 102 . In the interconnection portion 300 , in addition to the first metal layer ( M1 ) 302 , more metal levels, such as M2 , M3 , etc., may be included. These metal levels or metal layers can be electrically coupled through metal vias, and the uppermost metal layer can provide bonding points for connecting with the chip/die to be packaged. In one way, in some embodiments, a plurality of metal lines are formed in the interconnection portion 300, wherein each metal line may be composed of a metal via and one or more metal layers (ie, M1, M2, M3, etc.). The configuration and the arrangement of the metal lines can be used to determine the connection between the semiconductor die 80 and the packaging substrate 90 .

另外,如圖8A所示,中介板結構30可包含一或多個通孔304,或是稱之為矽通孔(TSV),其穿透基板部分100及線路部分102以電性耦接半導體晶粒80及封裝基板90。TSV 304及電容結構106係在線路部分102當中交錯設置。如先前在圖7所展示及與說明的,每個第二區域204是被保留以在其中形成TSV,因此嵌於線路部分102當中的電容結構106並不會干擾中介板結構30中的線路布置。In addition, as shown in FIG. 8A, the interposer structure 30 may include one or more through holes 304, or called through-silicon vias (TSVs), which penetrate the substrate portion 100 and the circuit portion 102 to electrically couple the semiconductor Die 80 and packaging substrate 90 . The TSVs 304 and the capacitor structures 106 are interleaved in the circuit portion 102 . As previously shown and described in FIG. 7 , each second region 204 is reserved to form a TSV therein, so that the capacitor structure 106 embedded in the circuit portion 102 does not interfere with the circuit arrangement in the interposer structure 30 .

仍參考圖8A,第一金屬層302不僅是電性耦接於TSV 304,其也電性耦接於電容結構106。在一些實施例中,電容結構106可分別經由金屬頂板110及金屬底板108上的第一金屬接觸118及第二金屬接觸120(可見圖5所示的標記)而電性耦接於第一金屬層302。在圖8A所示的實施例中,第一金屬接觸118及第二金屬接觸120的垂直長度不同,因為在線路部分102當中並沒有預先形成電容電極結構以為第一金屬接觸118及第二金屬接觸120提供一平坦的接觸表面。Still referring to FIG. 8A , the first metal layer 302 is not only electrically coupled to the TSV 304 , but also electrically coupled to the capacitor structure 106 . In some embodiments, the capacitor structure 106 can be electrically coupled to the first metal via the first metal contact 118 and the second metal contact 120 (marked in FIG. 5 ) on the metal top plate 110 and the metal bottom plate 108 respectively. Layer 302. In the embodiment shown in FIG. 8A , the vertical lengths of the first metal contact 118 and the second metal contact 120 are different, because no capacitive electrode structure is pre-formed in the line portion 102 to serve as the first metal contact 118 and the second metal contact. 120 provides a flat contact surface.

相較之下,參考圖8B所示的另一些實施例,電容電極結構124係形成於線路部分102中,以降低第一金屬接觸118及第二金屬接觸120落於電容結構106上的難度。電容電極結構124的細節可參考前揭於圖6的說明,為簡潔起見而在此省略,不再贅述。In contrast, referring to other embodiments shown in FIG. 8B , the capacitive electrode structure 124 is formed in the circuit portion 102 to reduce the difficulty of the first metal contact 118 and the second metal contact 120 landing on the capacitive structure 106 . The details of the capacitive electrode structure 124 can be referred to the previous description in FIG. 6 , which is omitted here for the sake of brevity, and will not be repeated here.

如圖8A、8B所示,互連部分300可經由一第一導電端子306而被電性連接至中介板結構30上的半導體晶粒80。第一導電端子306可包含複數個導電柱(例如銅柱)或其他合適的凸塊連接形式,其中第一導電端子306可被合適的保護或鈍化材料(未示於圖中)所環繞。在其他實施例中,一混合鍵合(hybrid bonding)結構可被實施以電性連接互連部分300及半導體晶粒80。在中介板結構30相對的表面,一第二導電端子308可被實施在封裝基板90及中介板結構30的基板部分100之間。在一些實施例中,第二導電端子308可包含C4凸塊、焊錫球或類似的結構等。在一些實施例中,半導體晶粒80可包含系統單晶片(system on a chip,SOC)、高頻寬記憶體(high bandwidth memory,HBM)、邏輯晶粒、記憶體晶粒、圖形處理單元(GPU)、中央處理單元(CPU)或類似的元件等。在一些實施例中,半導體晶粒80可被小晶片(chiplet)所替代。在一些實施例中,半導體晶粒80的一互連部分(未示於圖中)具有一線路尺寸小於中介板結構30的互連部分300的一線路尺寸。As shown in FIGS. 8A and 8B , the interconnection portion 300 can be electrically connected to the semiconductor die 80 on the interposer structure 30 via a first conductive terminal 306 . The first conductive terminal 306 may include a plurality of conductive pillars (such as copper pillars) or other suitable bump connection forms, wherein the first conductive terminal 306 may be surrounded by a suitable protection or passivation material (not shown in the figure). In other embodiments, a hybrid bonding structure may be implemented to electrically connect the interconnect portion 300 and the semiconductor die 80 . On the opposite surface of the interposer structure 30 , a second conductive terminal 308 may be implemented between the package substrate 90 and the substrate portion 100 of the interposer structure 30 . In some embodiments, the second conductive terminals 308 may include C4 bumps, solder balls, or similar structures. In some embodiments, the semiconductor die 80 may include a system on a chip (SOC), a high bandwidth memory (high bandwidth memory, HBM), a logic die, a memory die, or a graphics processing unit (GPU). , central processing unit (CPU) or similar elements. In some embodiments, semiconductor die 80 may be replaced by chiplets. In some embodiments, an interconnection portion (not shown) of the semiconductor die 80 has a line size smaller than that of the interconnection portion 300 of the interposer structure 30 .

如圖9A所示,在一些實施例中,互連部分300包含一第一金屬線路316。第一金屬線路316包含一部分的第一金屬層302,且第一金屬線路316係電性耦接於電容結構106。在一些實施例中,第一金屬線路316係設置於TSV 304上,且第一金屬線路316係電性耦接於TSV 304及電容結構106。As shown in FIG. 9A , in some embodiments, the interconnection portion 300 includes a first metal line 316 . The first metal line 316 includes a portion of the first metal layer 302 , and the first metal line 316 is electrically coupled to the capacitor structure 106 . In some embodiments, the first metal line 316 is disposed on the TSV 304 , and the first metal line 316 is electrically coupled to the TSV 304 and the capacitor structure 106 .

在一些實施例中,一第一半導體晶粒81及一第二半導體晶粒82可被鍵合於中介板結構30上。在一些實施例中,第一半導體結構81係與第二半導體晶粒82相同。在其他實施例中,第一半導體結構81係與第二半導體晶粒82不同。第一半導體晶粒81可經由互連部分300而與第二半導體晶粒82電性耦接。如圖9A所示,在一些實施例中,互連部分300包含一第二金屬線路318位於互連部分300中,且第二金屬線路318係電性連接於第一半導體晶粒81及第二半導體晶粒82。在一些實施例中,部分的第二金屬線路318可包含第一金屬層302的一部分,例如圖中所示的第二金屬線路318b。在其他的例子中,一些第二金屬線路318a可完全位於第一金屬線路302上。在一些實施例中,第二金屬線路318係斷接於第一金屬線路316或TSV 304。換言之,第二金屬線路318係用於在第一半導體晶粒81及第二半導體晶粒82之間傳輸電訊號,無須繞道至TSV 304或電容結構106。如圖9A所示,線路部分102位於第二金屬線路318正下方的部分係不具有電容結構106。第二金屬線路318及電容結構106的交錯排列,可減少下層中的電容結構106對第二金屬線路318當中的訊號所造成的干擾。然而,這並非對於實施例的限制,當下層中的電容結構106對第二金屬線路318當中的訊號的干擾要求相對寬鬆時,線路部分102中的電容結構106也可形成於第二金屬線路318的正下方。In some embodiments, a first semiconductor die 81 and a second semiconductor die 82 may be bonded on the interposer structure 30 . In some embodiments, the first semiconductor structure 81 is identical to the second semiconductor die 82 . In other embodiments, the first semiconductor structure 81 is different from the second semiconductor die 82 . The first semiconductor die 81 can be electrically coupled to the second semiconductor die 82 via the interconnection portion 300 . As shown in FIG. 9A, in some embodiments, the interconnection portion 300 includes a second metal line 318 located in the interconnection portion 300, and the second metal line 318 is electrically connected to the first semiconductor die 81 and the second semiconductor die 81. Semiconductor die 82 . In some embodiments, part of the second metal line 318 may include a portion of the first metal layer 302 , such as the second metal line 318 b shown in the figure. In other examples, some of the second metal lines 318a may be completely on the first metal lines 302 . In some embodiments, the second metal line 318 is disconnected from the first metal line 316 or the TSV 304 . In other words, the second metal line 318 is used to transmit electrical signals between the first semiconductor die 81 and the second semiconductor die 82 without detours to the TSV 304 or the capacitor structure 106 . As shown in FIG. 9A , the portion of the circuit portion 102 directly below the second metal circuit 318 does not have the capacitor structure 106 . The staggered arrangement of the second metal lines 318 and the capacitor structures 106 can reduce the interference caused by the capacitor structures 106 in the lower layer to the signals in the second metal lines 318 . However, this is not a limitation to the embodiment. When the interference requirement of the capacitor structure 106 in the lower layer on the signal in the second metal line 318 is relatively loose, the capacitor structure 106 in the line part 102 can also be formed on the second metal line 318 directly below the .

如圖9B所示,在一些實施例中,TSV 304可穿透基板部分100、線路部分102及互連部分300,且TSV 304係斷接於第一金屬線路316及第二金屬線路318。在該等實施例中,係在將互連部分300形成於線路部分102上之後,方才形成TSV 304。第一金屬線路316、第二金屬線路318及TSV 304等三者的頂面皆係對齊於互連部分300的頂面。另外,在該等實施例中,第一金屬層302並不位於TSV 304上,因此TSV 304係斷接於第一金屬層302。As shown in FIG. 9B , in some embodiments, the TSV 304 can penetrate the substrate portion 100 , the wiring portion 102 and the interconnection portion 300 , and the TSV 304 is disconnected from the first metal line 316 and the second metal line 318 . In these embodiments, the TSV 304 is formed after the interconnect portion 300 is formed on the line portion 102 . The top surfaces of the first metal line 316 , the second metal line 318 and the TSV 304 are all aligned with the top surface of the interconnection portion 300 . Additionally, in these embodiments, the first metal layer 302 is not located on the TSV 304 , so the TSV 304 is disconnected from the first metal layer 302 .

圖9A、圖9B係用於展示關於各種專以傳輸訊號為目的而設計的金屬線路,以及可供高速訊號傳輸而與其他線路斷接的延伸型通孔的特徵。在一些實施例中,如圖9C、圖9D所示,搭配有電容電極結構124的電容結構106可被應用於在前揭圖9A、圖9B所示的架構當中。搭配有電容電極結構124的電容結構106的詳細描述可參考在前揭圖6及圖8B所說明的內容,為簡潔起見而在此省略,不再贅述。FIG. 9A and FIG. 9B are used to illustrate the characteristics of various metal lines specially designed for signal transmission, as well as extended via holes that can be disconnected from other lines for high-speed signal transmission. In some embodiments, as shown in FIG. 9C and FIG. 9D , the capacitive structure 106 with the capacitive electrode structure 124 can be applied to the structures shown in the above-mentioned FIG. 9A and FIG. 9B . For a detailed description of the capacitive structure 106 with the capacitive electrode structure 124 , please refer to the content described in FIG. 6 and FIG. 8B , which is omitted here for the sake of brevity.

在製造如圖1所示的中介板結構10時,尤其是關於在線路部分102中形成電容結構106的操作,可參考圖10A至10I之揭示。如圖10A所示,一半導體基板或一玻璃基板可被接收作為基板部分100,且基板部分100的前側100A係被一第一PMD層400所覆蓋,且金屬底板108係形成於第一PMD層400上。第一PMD層400可包含低k介電材料。When manufacturing the interposer structure 10 shown in FIG. 1 , especially regarding the operation of forming the capacitor structure 106 in the circuit portion 102 , reference may be made to the disclosures in FIGS. 10A to 10I . As shown in Figure 10A, a semiconductor substrate or a glass substrate can be received as the substrate portion 100, and the front side 100A of the substrate portion 100 is covered by a first PMD layer 400, and the metal base plate 108 is formed on the first PMD layer 400 on. The first PMD layer 400 may include a low-k dielectric material.

如圖10B所示,複數個金屬基底可經由沉積和圖案化操作而被形成於金屬底板108的頂面上。這些金屬基底係等同於先前於圖6所示的第一導電膜114的第一部份114A。第一部分114A可墊高後續形成的第一導電膜114的第二部分114B,以將第二部分114B與金屬底板108間隔開來,且因此第一導電膜114的第二部分114B的底部可於橫向對齊於後續形成的第二導電膜116的底部。As shown in FIG. 10B , a plurality of metal substrates may be formed on the top surface of the metal base plate 108 through deposition and patterning operations. These metal bases are identical to the first portion 114A of the first conductive film 114 previously shown in FIG. 6 . The first portion 114A may raise the second portion 114B of the first conductive film 114 formed subsequently to separate the second portion 114B from the metal base plate 108, and thus the bottom of the second portion 114B of the first conductive film 114 may be on the The lateral alignment is with the bottom of the second conductive film 116 formed later.

參考圖10C,一第一氮化物膜402、一第二PMD層404及一第二氮化物膜406係接續地形成於金屬底板108上。第二氮化物膜406的形成係用以避免冠型電容結構在後續的操作中倒落。接著,參考圖10D,第一氮化物膜402、第二PMD層404及第二氮化物膜406的堆疊係經圖案化而形成一開口408,且第一部份114A的頂面係因此而暴露。然後,參考圖10E,一導電層410係形成於第二氮化物膜406上,並覆蓋開口408的輪廓。導電層410係用以形成第一導電膜114的第二部分114B。Referring to FIG. 10C , a first nitride film 402 , a second PMD layer 404 and a second nitride film 406 are successively formed on the metal base plate 108 . The second nitride film 406 is formed to prevent the capacitive structure from falling down in subsequent operations. Then, with reference to Figure 10D, the stacking system of the first nitride film 402, the second PMD layer 404 and the second nitride film 406 is patterned to form an opening 408, and the top surface of the first part 114A is thus exposed . Then, referring to FIG. 10E , a conductive layer 410 is formed on the second nitride film 406 and covers the outline of the opening 408 . The conductive layer 410 is used to form the second portion 114B of the first conductive film 114 .

參考圖10F,導電層410係被圖案化以移除導電層410的頂部的一部分。此操作中的圖案係可決定電容結構的類型。舉例而言,圖10F所示的實施例可被用以形成冠型的電容結構106,因為在導電層410的垂直部分間具有經蝕刻而形成的一開口414;然而在其他實施例中,基於在導電層410的垂直部分間仍有少部分的第二PMD層404並未被移除,因此在沒有開口414的情況下,可形成凹型的電容結構106。本揭露係使用形成冠型的電容結構106的實施例為例示。實際上,其它形成凹型的電容結構106的操作係實質上相同於形成冠型的電容結構106,為簡潔起見而在此省略,不再贅述。Referring to FIG. 10F , the conductive layer 410 is patterned to remove a portion of the top of the conductive layer 410 . The pattern in this operation can determine the type of capacitive structure. For example, the embodiment shown in FIG. 10F can be used to form a crown-shaped capacitive structure 106 because there is an opening 414 etched between the vertical portions of the conductive layer 410; however, in other embodiments, based on There is still a small portion of the second PMD layer 404 not removed between the vertical portions of the conductive layer 410 , so that the concave capacitor structure 106 can be formed without the opening 414 . The present disclosure is exemplified using an embodiment in which the capacitive structure 106 is formed into a crown shape. In fact, other operations for forming the concave capacitive structure 106 are substantially the same as for forming the capacitive capacitive structure 106 , which are omitted here for the sake of brevity, and will not be repeated here.

之後,如圖10G所示,一絕緣膜416係形成於導電層410上。絕緣膜416可包含高k介電材料,例如鑭、鉿和鋯等金屬的氧化物。參考圖10H,另一導電層412係形成於絕緣膜416上。導電層412係實質相同於第二導電膜416,其係相鄰於第一導電膜114以及與金屬頂板110相連接,並且自金屬頂板110向金屬底板108延伸。因此,透過接續地堆疊導電層410、絕緣膜416及導電層412,並且實施一平坦化操作後,即可如圖10I所示之例示而達成一MIM電容結構。Afterwards, as shown in FIG. 10G , an insulating film 416 is formed on the conductive layer 410 . The insulating film 416 may include a high-k dielectric material, such as oxides of metals such as lanthanum, hafnium, and zirconium. Referring to FIG. 10H , another conductive layer 412 is formed on the insulating film 416 . The conductive layer 412 is substantially the same as the second conductive film 416 , which is adjacent to the first conductive film 114 and connected to the metal top plate 110 , and extends from the metal top plate 110 to the metal bottom plate 108 . Therefore, by successively stacking the conductive layer 410 , the insulating film 416 and the conductive layer 412 , and performing a planarization operation, a MIM capacitor structure can be achieved as shown in FIG. 10I .

在一些實施例中,於使用PMD材料覆蓋電容結構106以將電容結構106嵌於線路部分102中的操作前,複數個電容電極結構124可被形成於電容結構106上,用以供後續操作當中所形成的金屬接觸能夠落於其上。在其他不具有電容電極結構的實施例中,PMD材料可形成於電容結構106上,以將電容結構106嵌於線路部分102當中。In some embodiments, before the operation of covering the capacitive structure 106 with PMD material to embed the capacitive structure 106 in the circuit portion 102, a plurality of capacitive electrode structures 124 can be formed on the capacitive structure 106 for subsequent operations. The formed metal contacts can rest thereon. In other embodiments without the capacitive electrode structure, PMD material can be formed on the capacitive structure 106 to embed the capacitive structure 106 in the circuit portion 102 .

在製造如前揭圖8A、圖8B、圖9A及圖9C所示與半導體晶粒80(或第一及第二半導體晶粒81、82)及封裝基板90封裝的中介板結構30時,其操作可參考圖11A至11H所揭示的實施例。如圖11A至11C所示,中介板結構30係在將線路部分102形成於基板部分100的前側100A上之後被接收,且電容結構106係在形成線路部分102的過程中被形成於線路部分102當中。一光阻層50可被設置於線路部分102上。透過實施一TSV蝕刻操作,一溝槽502可被形成。如圖所示,溝槽502穿透線路部分102並且向基板部分100延伸。溝槽502的位置繞過電容結構106,使得後續形成的通孔並不會與電容結構106相重疊。溝槽502可接著經由一通孔填充操作而被填充。在一些實施例中,一氧化物墊504可在將諸如銅等導電材料形填充於溝槽502中之前,形成於溝槽502內。TSV 304的一底端將待基板部分100被從其背側100B為拋光或研磨操作而導致基板部分100變薄後,才會暴露出。When manufacturing the interposer structure 30 packaged with the semiconductor die 80 (or the first and second semiconductor die 81, 82) and the packaging substrate 90 as shown in FIGS. 8A, 8B, 9A and 9C, the The operation can refer to the embodiment disclosed in FIGS. 11A to 11H . 11A to 11C, the interposer structure 30 is received after the line portion 102 is formed on the front side 100A of the substrate portion 100, and the capacitor structure 106 is formed on the line portion 102 during the formation of the line portion 102. among. A photoresist layer 50 can be disposed on the wiring portion 102 . By performing a TSV etch operation, a trench 502 can be formed. As shown, the trench 502 penetrates the wiring portion 102 and extends toward the substrate portion 100 . The position of the trench 502 bypasses the capacitor structure 106 so that the subsequently formed vias do not overlap the capacitor structure 106 . Trench 502 may then be filled via a via filling operation. In some embodiments, an oxide pad 504 may be formed in the trench 502 prior to filling the trench 502 with a conductive material such as copper. A bottom end of the TSV 304 will not be exposed until the substrate portion 100 is thinned by a polishing or lapping operation from its back side 100B.

在經過通孔填充操作之後,一化學機械研磨操作可被實施於線路部分102的頂面,以在線路部分102上形成互連部分300之前先形成一平坦面。另外,由於嵌於線路部分102當中的電容結構106也必須被電性連接,因此可以透過實施至少一通孔蝕刻操作和一通孔填充操作,以在電容結構106上形成第一金屬接觸118及第二金屬接觸120。前述的化學機械研磨操作可在TSV 304、第一金屬接觸118及第二金屬接觸120形成後實施。After the via filling operation, a chemical mechanical polishing operation may be performed on the top surface of the circuit portion 102 to form a planar surface before forming the interconnection portion 300 on the circuit portion 102 . In addition, since the capacitor structure 106 embedded in the circuit portion 102 must also be electrically connected, it is possible to form the first metal contact 118 and the second metal contact 118 on the capacitor structure 106 by performing at least one via etching operation and a via filling operation. Metal contacts 120 . The aforementioned CMP operation may be performed after the formation of the TSV 304 , the first metal contact 118 and the second metal contact 120 .

參考圖11D,互連部分300係接續地形成在線路部分102上。互連部分300的形成可包含經由BEOL製程所形成的複數個金屬層間介電質層(interlayer dielectric (ILD) layer)、金屬層及金屬通孔(metal via)。互連部分300中的第一金屬層302可以經由BEOL製程而被電性耦接至TSV 304及電容結構106。Referring to FIG. 11D , the interconnection portion 300 is successively formed on the line portion 102 . The formation of the interconnection portion 300 may include a plurality of interlayer dielectric (ILD) layers, metal layers and metal vias formed through a BEOL process. The first metal layer 302 in the interconnection portion 300 can be electrically coupled to the TSV 304 and the capacitor structure 106 through a BEOL process.

接著,參考圖11E,可以在互連部分300的頂面實施一凸塊(bumping)操作以形成第一導電端子306。在一些實施例中,第一導電端子306可包含複數個導電柱(例如銅柱),或是其它適合的凸塊連接結構形式。在一些實施例中,第一導電端子306進一步包含一凸塊下金屬(under bump metallization,UBM)310位於凸塊連接結構下。透過利用第一導電端子306,TSV 304及電容結構106都被併入到延伸至中介板結構30的前側30A的導電路徑當中。TSV 304及電容結構106可在接下來的封裝操作中,被電性連接至半導體晶粒80。Next, referring to FIG. 11E , a bumping operation may be performed on the top surface of the interconnection portion 300 to form the first conductive terminal 306 . In some embodiments, the first conductive terminal 306 may include a plurality of conductive pillars (such as copper pillars), or other suitable bump connection structures. In some embodiments, the first conductive terminal 306 further includes an under bump metallization (UBM) 310 located under the bump connection structure. By utilizing the first conductive terminal 306 , both the TSV 304 and the capacitive structure 106 are incorporated into a conductive path extending to the front side 30A of the interposer structure 30 . The TSV 304 and the capacitor structure 106 can be electrically connected to the semiconductor die 80 in a subsequent packaging operation.

如圖11F所示,在將半導體晶粒80鍵合至中介板結構30前,可實施一TSV顯露操作,從而讓基板部分100自其背側100B被薄化而TSV 304的一端304a。隨後,如圖11G所示,可在基板部分100的被側100B實施一回焊(reflow)操作,以形成諸如C4凸塊312等第二導電端子。在此實施例中,C4凸塊312是與設置在基板部分100的背側100B的另一UBM 314相接觸。As shown in FIG. 11F , prior to bonding the semiconductor die 80 to the interposer structure 30 , a TSV reveal operation may be performed such that the substrate portion 100 is thinned from its backside 100B to one end 304 a of the TSV 304 . Subsequently, as shown in FIG. 11G , a reflow operation may be performed on the backside 100B of the substrate portion 100 to form second conductive terminals such as C4 bumps 312 . In this embodiment, the C4 bump 312 is in contact with another UBM 314 disposed on the backside 100B of the substrate portion 100 .

之後,如圖11H所示,可實施一2.5D組裝操作以將半導體晶粒80鍵合至中介板結構30的前側30A,以及將封裝基板90鍵合至中介板結構30的一背側30B(等同於基板部分100的背側100B)。封裝基板90可進一步包含位於其背側90B的複數個焊球901。Thereafter, as shown in FIG. 11H , a 2.5D assembly operation may be performed to bond the semiconductor die 80 to the front side 30A of the interposer structure 30 and to bond the package substrate 90 to a backside 30B of the interposer structure 30 ( equivalent to the back side 100B of the substrate portion 100). The package substrate 90 may further include a plurality of solder balls 901 on the backside 90B thereof.

在其他實施例中,如前揭圖6所示之中介板結構10,其包含與金屬底板108的非覆蓋區域122相接觸的第一電容電極結構124A,及與金屬頂板110的頂面相接觸的第二電容電極結構124B,而該中介板結構10與半導體晶粒80及封裝基板90進行封裝的製造方法,係大致等同於前揭圖11A至圖11H所示的步驟。In other embodiments, the interposer structure 10 as shown in FIG. The second capacitive electrode structure 124B, and the manufacturing method of encapsulating the interposer structure 10 with the semiconductor die 80 and the package substrate 90 are roughly the same as the steps shown in FIGS. 11A to 11H described above.

在TSV 304穿透基板部分100、線路部分102及互連部分300的實施例當中(即前揭於圖9B及圖9D的實施例),用以形成TSV 304的通孔蝕刻操作及通孔填充操作,可在形成互連部分300後實施。In the embodiment in which the TSV 304 penetrates the substrate portion 100, the wiring portion 102, and the interconnection portion 300 (i.e., the embodiment previously disclosed in FIG. 9B and FIG. 9D), the via etching operation and via filling for forming the TSV 304 The operation may be performed after the interconnection part 300 is formed.

如圖12A至12D所示,在電容結構106具有第一電容電極結構124A及第二電容電極結構124B位於其上的情況中,通孔蝕刻操作及通孔填充操作仍可實施,以在電容結構106上形成第一金屬接觸118及第二金屬接觸120;而此些操作本身較為容易,因為第一電容電極結構124A及第二電容電極結構124B可提供共面接觸表面126於電容結構106上,用以讓金屬接觸能夠觸及,且第一電容電極結構124A可提供一較大的頂面積而讓第一金屬接觸118的落位較為容易,從而提高產品的良率。在製造中介板結構的方法中,其他關於將中介板結構與半導體晶粒80及封裝基板90為封裝的步驟係與前揭圖11A至11H所示的實施例相同,為簡潔起見而在此省略,不再贅述。As shown in FIGS. 12A to 12D , in the case where the capacitive structure 106 has a first capacitive electrode structure 124A and a second capacitive electrode structure 124B located thereon, the via etching operation and via filling operation can still be performed to provide the capacitive structure The first metal contact 118 and the second metal contact 120 are formed on the 106; and these operations are relatively easy, because the first capacitive electrode structure 124A and the second capacitive electrode structure 124B can provide a coplanar contact surface 126 on the capacitive structure 106, It is used to make the metal contact accessible, and the first capacitive electrode structure 124A can provide a larger top area to make the placement of the first metal contact 118 easier, thereby improving the yield rate of the product. In the method for manufacturing the interposer structure, other steps related to packaging the interposer structure, the semiconductor die 80 and the package substrate 90 are the same as those in the previous embodiments shown in FIGS. 11A to 11H . Omit, no more details.

簡言之,本揭露提供一種在MOL/MOEL結構中具有3D電容的中介板結構。透過有效利用中介板結構的空間,大量的電容能被添加到2.5D封裝結構中。此外,與將電容添加至2.5D封裝結構的其他空間當中相比,中介板結構當中的MOL/MOEL結構係非常靠近經封裝的半導體晶粒,例如SOC,因此這些電容可以更有效地將寄生電阻和電感最小化。In short, the present disclosure provides an interposer structure with 3D capacitance in a MOL/MOEL structure. By effectively utilizing the space of the interposer structure, a large amount of capacitance can be added to the 2.5D package structure. In addition, the MOL/MOEL structure in the interposer structure is very close to the packaged semiconductor die, such as an SOC, compared to adding capacitors to other spaces in the 2.5D package structure, so these capacitors can more effectively reduce the parasitic resistance. and inductance is minimized.

在一個例示性態樣中,本揭露提供一種中介板結構。該中介板結構包含一基板部分、一線路部分、一互連部分、一通孔及一電容結構。該線路部分係設置於該基板部分上。該互連部分係設置於該線路部分上。該通孔穿透該基板部分及該線路部分。該電容結構係嵌於該線路部分中。該互連部分包含一第一金屬線路電性耦接於該電容結構。In an exemplary aspect, the present disclosure provides an interposer structure. The interposer structure includes a substrate part, a line part, an interconnection part, a through hole and a capacitor structure. The circuit part is arranged on the substrate part. The interconnection part is arranged on the line part. The through hole penetrates the substrate part and the circuit part. The capacitive structure is embedded in the circuit part. The interconnection part includes a first metal line electrically coupled to the capacitor structure.

在另一個例示性態樣中,本揭露提供一種中介板結構。該中介板結構包含複數個中介板單元,其從一上視角度係呈陣列排列。每一該等中介板單元包含一第一區域及複數個第二區域。該第一區域具有一電容結構。每一該等第二區域不具有該電容結構。該第一區域係環繞該等第二區域。In another exemplary aspect, the present disclosure provides an interposer structure. The interposer structure includes a plurality of interposer units arranged in an array from a top view. Each of the interposer units includes a first area and a plurality of second areas. The first region has a capacitor structure. Each of the second regions does not have the capacitor structure. The first area surrounds the second areas.

在再一個例示性態樣中,本揭露提供一種製造一中介板結構的方法。該方法包含步驟:形成一線路部分於一基板部分的一前側上;及於形成該線路部分期間,形成一電容結構於該線路部分中。且該電容結構係經由一動態隨機存取記憶體製程所形成。In yet another exemplary aspect, the present disclosure provides a method of fabricating an interposer structure. The method comprises the steps of: forming a circuit part on a front side of a substrate part; and forming a capacitance structure in the circuit part during forming the circuit part. And the capacitance structure is formed through a dynamic random access memory process.

前述內容概述數項實施例之結構,使得熟習此項技術者可更佳地理解本揭露之態樣。熟習此項技術者應瞭解,其等可容易地使用本揭露作為用於設計或修改其他製程及結構之一基礎以實行本揭露中介紹之實施例之相同目的及/或達成相同優點。熟習此項技術者亦應瞭解,此等等效構造不背離本揭露之精神及範疇,且其等可在不背離本揭露之精神及範疇之情況下在本揭露中作出各種改變、置換及更改。The above content outlines the structures of several embodiments, so that those skilled in the art can better understand the aspect of the present disclosure. Those skilled in the art will appreciate that they can readily use this disclosure as a basis for designing or modifying other processes and structures to carry out the same purposes and/or achieve the same advantages of the embodiments described in this disclosure. Those skilled in the art should also understand that such equivalent structures do not depart from the spirit and scope of the present disclosure, and they can make various changes, substitutions and changes in the present disclosure without departing from the spirit and scope of the present disclosure. .

10:中介板結構 20:中介板單元 30:中介板結構 30A:前側 30B:背側 50:光阻層 80:半導體晶粒 81:第二半導體晶粒 82:第一半導體晶粒 90:封裝基板 90B:背側 100:基板部分 100A:前側 100B:背側 102:線路部分 104:容置空間 106:電容結構 108:金屬底板 110:金屬頂板 112:電容單元 114:第一導電膜 114A:第一部分 114B:第二部分 116:第二導電膜 118:第一金屬接觸 120:第二金屬接觸 122:非覆蓋區域 124:電容電極結構 124A:第一電容電極結構 124B:第二電容電極結構 126:共面接觸表面 128:第一絕緣膜 130:第二絕緣膜 202:第一區域 204:第二區域 206:切割道 300:互連部分 302:第一金屬層 304:通孔/TSV 306:第一導電端子 308:第二導電端子 310:UBM 312:C4凸塊 314:UBM 316:第一金屬線路 318:第二金屬線路 318a:第二金屬線路 318b:第二金屬線路 400:第一PMD層 402:第一氮化物膜 404:第二PMD層 406:第二氮化物膜 408:開口 410:導電層 412:導電層 414:開口 416:絕緣膜 502:溝槽 504:氧化物墊 901:焊球 D1:間距 D2:間距 D3:間距 L:長度 P:節距 10: Interposer structure 20:Intermediate board unit 30:Intermediate board structure 30A: front side 30B: dorsal side 50: photoresist layer 80: Semiconductor grain 81: Second semiconductor grain 82: The first semiconductor die 90: Package substrate 90B: dorsal side 100: Substrate part 100A: front side 100B: dorsal side 102: Line part 104:Accommodating space 106:Capacitance structure 108: metal bottom plate 110: metal top plate 112: capacitor unit 114: the first conductive film 114A: Part I 114B: Part Two 116: second conductive film 118: First metal contact 120: second metal contact 122: Non-coverage area 124:Capacitive electrode structure 124A: The first capacitive electrode structure 124B: The second capacitive electrode structure 126: Coplanar contact surface 128: first insulating film 130: second insulating film 202: The first area 204: Second area 206: Cutting Road 300: interconnection part 302: the first metal layer 304: Through hole / TSV 306: the first conductive terminal 308: second conductive terminal 310:UBM 312: C4 bump 314:UBM 316: The first metal line 318: Second metal circuit 318a: Second metal line 318b: Second metal line 400: The first PMD layer 402: first nitride film 404: The second PMD layer 406: second nitride film 408: opening 410: conductive layer 412: conductive layer 414: opening 416: insulating film 502: Groove 504: oxide pad 901: solder ball D1: Spacing D2: Spacing D3: Spacing L: Length P: Pitch

當結合附圖閱讀時,從以下詳細描述最佳理解本揭露之態樣。應注意,根據產業中之標準實踐,各種結構未按比例繪製。事實上,為了清楚論述可任意增大或減小各種結構之尺寸。Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying drawings. It should be noted that, in accordance with the standard practice in the industry, various structures are not drawn to scale. In fact, the dimensions of the various structures may be arbitrarily increased or decreased for clarity of discussion.

圖1說明根據本揭露一些實施例的中介板結構的剖視圖。FIG. 1 illustrates a cross-sectional view of an interposer structure according to some embodiments of the present disclosure.

圖2說明根據本揭露一些實施例的電容結構的剖視圖。FIG. 2 illustrates a cross-sectional view of a capacitor structure according to some embodiments of the present disclosure.

圖3說明根據本揭露一些實施例的電容結構的剖視圖。3 illustrates a cross-sectional view of a capacitor structure according to some embodiments of the present disclosure.

圖4A說明根據本揭露一些實施例的電容單元以矩形陣列為排列的上視圖。 FIG. 4A illustrates a top view of capacitor units arranged in a rectangular array according to some embodiments of the present disclosure.

圖4B說明根據本揭露一些實施例的電容單元以六邊形陣列為排列的上視圖。 FIG. 4B illustrates a top view of capacitor units arranged in a hexagonal array according to some embodiments of the present disclosure.

圖5說明根據本揭露一些實施例的電容結構的剖視圖。 5 illustrates a cross-sectional view of a capacitor structure according to some embodiments of the present disclosure.

圖6說明根據本揭露一些實施例的電容結構的剖視圖。 6 illustrates a cross-sectional view of a capacitor structure according to some embodiments of the present disclosure.

圖7說明根據本揭露一些實施例的中介板結構的布局的上視圖。 7 illustrates a top view of a layout of an interposer structure according to some embodiments of the present disclosure.

圖8A說明根據本揭露一些實施例的經封裝中介板結構的剖視圖。 8A illustrates a cross-sectional view of a packaged interposer structure according to some embodiments of the present disclosure.

圖8B說明根據本揭露一些實施例的經封裝中介板結構的剖視圖。 8B illustrates a cross-sectional view of a packaged interposer structure according to some embodiments of the present disclosure.

圖9A說明根據本揭露一些實施例的經封裝中介板結構的剖視圖。 9A illustrates a cross-sectional view of a packaged interposer structure according to some embodiments of the present disclosure.

圖9B說明根據本揭露一些實施例的經封裝中介板結構的剖視圖。 9B illustrates a cross-sectional view of a packaged interposer structure according to some embodiments of the present disclosure.

圖9C說明根據本揭露一些實施例的經封裝中介板結構的剖視圖。 9C illustrates a cross-sectional view of a packaged interposer structure according to some embodiments of the present disclosure.

圖9D說明根據本揭露一些實施例的經封裝中介板結構的剖視圖。 9D illustrates a cross-sectional view of a packaged interposer structure according to some embodiments of the present disclosure.

圖10A至圖10I說明根據本揭露一些實施例在中介板結構中形成電容結構的剖視圖。 10A-10I illustrate cross-sectional views of capacitor structures formed in an interposer structure according to some embodiments of the present disclosure.

圖11A至圖11H說明根據本揭露一些實施例形成經封裝中介板結構的剖視圖。 11A-11H illustrate cross-sectional views of packaged interposer structures formed according to some embodiments of the present disclosure.

圖12A至圖12D說明根據本揭露一些實施例形成經封裝中介板結構的剖視圖。 12A-12D illustrate cross-sectional views of packaged interposer structures formed according to some embodiments of the present disclosure.

10:中介板結構 10: Interposer structure

100:基板部分 100: Substrate part

100A:前側 100A: front side

100B:背側 100B: dorsal side

102:線路部分 102: Line part

106:電容結構 106:Capacitance structure

D1:間距 D1: Spacing

Claims (24)

一種中介板結構,其包含: 一基板部分; 一線路部分,其位於該基板部分上; 一互連部分,其位於該線路部分上; 一通孔,其穿透該基板部分及該線路部分;及 一電容結構,其嵌於該線路部分中; 其中,該互連部分包含一第一金屬線路電性耦接於該電容結構。 A kind of interposer structure, it comprises: a substrate portion; a circuit part, which is located on the substrate part; an interconnection portion located on the line portion; a through hole penetrating the substrate portion and the wiring portion; and a capacitive structure embedded in the line portion; Wherein, the interconnection part includes a first metal line electrically coupled to the capacitor structure. 如請求項1所述的中介板結構,其中該第一金屬線路係設置於該通孔上,且該第一金屬線路係電性耦接於該通孔及該電容結構。The interposer structure as claimed in claim 1, wherein the first metal line is disposed on the through hole, and the first metal line is electrically coupled to the through hole and the capacitor structure. 如請求項1所述的中介板結構,其中該通孔穿透該基板部分、該線路部分及該互連部分,且該通孔係斷接於該第一金屬線路。The interposer structure as claimed in claim 1, wherein the through hole penetrates the substrate part, the circuit part and the interconnection part, and the through hole is disconnected from the first metal circuit. 如請求項1所述的中介板結構,其中該互連部分係用以與一第一半導體晶粒鍵合,且該基板部分係用以與一封裝基板鍵合。The interposer structure as claimed in claim 1, wherein the interconnection portion is used for bonding with a first semiconductor die, and the substrate portion is used for bonding with a packaging substrate. 如請求項4所述的中介板結構,其中該互連部分係進一步用於與一第二半導體晶粒鍵合,該互連部分進一步包含一第二金屬線路電性連接於該第一半導體晶粒及該第二半導體晶粒。The interposer structure as claimed in item 4, wherein the interconnection part is further used for bonding with a second semiconductor die, and the interconnection part further comprises a second metal line electrically connected to the first semiconductor die grain and the second semiconductor grain. 如請求項5所述的中介板結構,其中該第二金屬線路係斷接於該第一金屬線路或該通孔。The interposer structure as claimed in claim 5, wherein the second metal line is disconnected from the first metal line or the through hole. 如請求項1所述的中介板結構,其中該基板部分係由半導體或絕緣體所構成。The interposer structure as claimed in claim 1, wherein the substrate part is made of semiconductor or insulator. 如請求項1所述的中介板結構,其中該電容結構包含: 一金屬底板; 一金屬頂板,其位於該金屬底板上;及 複數個電容單元,其位於該金屬底板及該金屬頂板之間。 The interposer structure as described in claim 1, wherein the capacitor structure includes: a metal base; a metal top plate on the metal bottom plate; and A plurality of capacitor units are located between the metal bottom plate and the metal top plate. 如請求項8所述的中介板結構,其中每一該等電容單元包含: 一第一導電膜,其包含: 一第一部分,其連接該金屬底板;及 一第二部分,其連接該第一部分及自該金屬底板向該金屬頂板延伸;及 一第二導電膜,其相鄰於該第一導電膜且連接該金屬頂板及自該金屬頂板向該金屬底板延伸; 其中,該第二導電膜係垂直地與該第一導電膜的該第二部分交錯。 The interposer structure as described in claim item 8, wherein each of the capacitor units includes: A first conductive film comprising: a first part, which is connected to the metal base; and a second portion connected to the first portion and extending from the metal bottom plate to the metal top plate; and a second conductive film adjacent to the first conductive film and connected to the metal top plate and extending from the metal top plate to the metal bottom plate; Wherein, the second conductive film vertically intersects with the second portion of the first conductive film. 如請求項8所述的中介板結構,其進一步包含: 一第一接觸,其連接該互連部分的一第一金屬層及該金屬頂板;及 一第二接觸,其連接該第一金屬層及該金屬底板; 其中,該第一接觸及該第二接觸之垂直長度係實質相同。 The interposer structure as described in claim item 8, which further includes: a first contact connecting a first metal layer of the interconnect and the metal top plate; and a second contact connecting the first metal layer and the metal base; Wherein, the vertical lengths of the first contact and the second contact are substantially the same. 如請求項8所述的中介板結構,其進一步包含: 一第一接觸,其連接該互連部分的一第一金屬層及該金屬頂板;及 一第二接觸,其連接該第一金屬層及該金屬底板; 其中,該第一接觸及該第二接觸之垂直長度係不相同。 The interposer structure as described in claim item 8, which further includes: a first contact connecting a first metal layer of the interconnect and the metal top plate; and a second contact connecting the first metal layer and the metal base; Wherein, the vertical lengths of the first contact and the second contact are different. 如請求項8所述的中介板結構,其中該金屬底板及該金屬頂板之間的一間距係介於約1微米至約2微米。The interposer structure as claimed in claim 8, wherein a distance between the metal bottom plate and the metal top plate is between about 1 micron and about 2 microns. 如請求項1所述的中介板結構,其進一步包含: 一記憶體結構,其位於該線路部分內。 The interposer structure as described in claim item 1, which further includes: A memory structure is located in the circuit portion. 一種中介板結構,其包含: 複數個中介板單元,其從一上視角度係呈陣列排列,每一該等中介板單元包含: 一第一區域,其具有一電容結構;及 複數個第二區域,每一該等第二區域不具有該電容結構,該第一區域係環繞該等第二區域。 A kind of interposer structure, it comprises: A plurality of intermediate board units are arranged in an array from a top view, and each of these intermediate board units includes: a first region having a capacitive structure; and For a plurality of second regions, each of the second regions does not have the capacitor structure, and the first region surrounds the second regions. 如請求項14所述的中介板結構,其中相鄰的該等中介板單元係經一切割道隔開。The interposer structure as claimed in claim 14, wherein the adjacent interposer units are separated by a cutting line. 如請求項14所述的中介板結構,其中該第一區域於該等中介板單元其中之一者的一面積比例係介於約50%至約80%。The interposer structure as claimed in claim 14, wherein an area ratio of the first region to one of the interposer units is about 50% to about 80%. 如請求項14所述的中介板結構,其中相鄰的該等第二區域之間的一節距係小於約100微米,及該等中介板單元其中之一者的一側邊的一長度係介於約0.5毫米至1毫米。The interposer structure as claimed in claim 14, wherein a pitch between adjacent second regions is less than about 100 microns, and a length of a side of one of the interposer units is between From about 0.5 mm to 1 mm. 如請求項14所述的中介板結構,其中每一該等中介板單元係用以容置穿透該中介板結構的一通孔。The interposer structure as claimed in claim 14, wherein each of the interposer units is used for accommodating a through hole penetrating the interposer structure. 如請求項14所述的中介板結構,其中該電容結構的一高度係介於約1微米至約2微米,及該電容結構從一剖視角度係位於該中介板結構的一基板部分及一互連部分之間。The interposer structure as claimed in claim 14, wherein a height of the capacitive structure is between about 1 micron and about 2 microns, and the capacitive structure is located on a substrate portion and a portion of the interposer structure from a cross-sectional view between interconnected parts. 一種製造一中介板結構的方法,該方法包含: 形成一線路部分於一基板部分的一前側上;及 於形成該線路部分期間,形成一電容結構於該線路部分中; 其中,該電容結構係經由一動態隨機存取記憶體製程所形成。 A method of manufacturing an interposer structure, the method comprising: forming a wiring portion on a front side of a substrate portion; and During forming the line portion, forming a capacitive structure in the line portion; Wherein, the capacitor structure is formed through a dynamic random access memory process. 如請求項20所述的方法,其進一步包含: 形成一通孔穿透該線路部分並延伸至該基板部分,其中該通孔係不與該電容結構重疊; 形成一互連部分於該線路部分上,其中該互連部分的一第一金屬層係電性耦接於該電容結構及該通孔;及 自該基板部分的一背側薄化該基板部分,以暴露該通孔的一端。 The method as described in claim item 20, which further comprises: forming a via hole penetrating through the circuit portion and extending to the substrate portion, wherein the via hole does not overlap with the capacitor structure; forming an interconnection on the wiring portion, wherein a first metal layer of the interconnection is electrically coupled to the capacitor structure and the via; and The substrate portion is thinned from a back side of the substrate portion to expose an end of the through hole. 如請求項20所述的方法,其中形成該電容結構於該線路部分中的步驟包含: 形成複數個電容電極結構耦接於該電容結構; 其中該等電容電極結構包含一共面頂面。 The method as claimed in claim 20, wherein the step of forming the capacitive structure in the circuit portion comprises: forming a plurality of capacitive electrode structures coupled to the capacitive structures; Wherein the capacitive electrode structures include a coplanar top surface. 如請求項22所述的方法,其進一步包含: 形成複數個金屬接觸自該線路部分的一頂面延伸,以連接該等電容電極結構;及 形成一互連部分於該線路部分上。 The method as described in claim item 22, which further comprises: forming a plurality of metal contacts extending from a top surface of the line portion to connect the capacitive electrode structures; and An interconnection part is formed on the line part. 如請求項20所述的方法,其中該電容結構的一高度係介於約1微米至約2微米。The method of claim 20, wherein a height of the capacitive structure is between about 1 micron and about 2 microns.
TW110139694A 2020-10-30 2021-10-26 Interposer structure and method for manufacturing thereof TWI895531B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US17/085,770 2020-10-30
US17/085,770 US12317521B2 (en) 2017-04-28 2020-10-30 Capacitor device and manufacturing method therefor
US202163209923P 2021-06-11 2021-06-11
US63/209,923 2021-06-11

Publications (2)

Publication Number Publication Date
TW202236594A true TW202236594A (en) 2022-09-16
TWI895531B TWI895531B (en) 2025-09-01

Family

ID=

Also Published As

Publication number Publication date
CN114446961A (en) 2022-05-06

Similar Documents

Publication Publication Date Title
US9190345B1 (en) Semiconductor devices and methods of manufacture thereof
KR102833169B1 (en) Semiconductor device and method of manufacturing the semiconductor device
US11688667B2 (en) Semiconductor package including a pad pattern
US11211351B2 (en) Apparatuses including redistribution layers and related microelectronic devices
KR20150043933A (en) Integrated circuit device having through-silicon via structure and method of manufacturing the same
US11728311B2 (en) Semiconductor devices including interposer substrates further including capacitors
US11081425B2 (en) Semiconductor packages
KR20130107591A (en) Semiconductor devices and methods of manufacturing a semiconductor device
TW202310365A (en) Three-dimensional device structure and forming method thereof
US12183660B2 (en) Semiconductor device including through-silicon via and method of forming the same
CN113540013B (en) Semiconductor device with via protection layer
TWI891363B (en) Semiconductor package structure and method for forming the same
US20240203980A1 (en) Input/output interface cell, semiconductor device and manufacturing method of the semiconductor device
TWI832249B (en) Capacitor structure, semiconductor structure, and method for manufacturing thereof
US20220238430A1 (en) Capacitor structure, semiconductor structure, and method for manufacturing thereof
TWI895531B (en) Interposer structure and method for manufacturing thereof
TW202236594A (en) Interposer structure and method for manufacturing thereof
US20220045162A1 (en) Interposer structure and method for manufacturing thereof
US20250233095A1 (en) Semiconductor chip, semiconductor package including semiconductor chip, and method for manufacturing the same
US20250192083A1 (en) Semiconductor package
TWI895373B (en) Semiconductor devices and methods for manufacturing the same
CN221057422U (en) Semiconductor devices
US20250167147A1 (en) Semiconductor package and method for manufacturing the same
US20240055378A1 (en) Semiconductor chip and semiconductor package including the same
KR20240094772A (en) Semiconductor chip and method of manufacturing the same