WO2018125035A1 - Transistors comprenant un matériau de source/drain final traité après traitement de grille de remplacement - Google Patents
Transistors comprenant un matériau de source/drain final traité après traitement de grille de remplacement Download PDFInfo
- Publication number
- WO2018125035A1 WO2018125035A1 PCT/US2016/068660 US2016068660W WO2018125035A1 WO 2018125035 A1 WO2018125035 A1 WO 2018125035A1 US 2016068660 W US2016068660 W US 2016068660W WO 2018125035 A1 WO2018125035 A1 WO 2018125035A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- regions
- transistor
- gate
- sacrificial
- semiconductor material
- Prior art date
Links
- 239000000463 material Substances 0.000 title claims abstract description 342
- 238000012545 processing Methods 0.000 title claims abstract description 71
- 238000000034 method Methods 0.000 claims abstract description 149
- 239000002019 doping agent Substances 0.000 claims abstract description 64
- 125000006850 spacer group Chemical group 0.000 claims abstract description 19
- 239000004065 semiconductor Substances 0.000 claims description 104
- 239000000758 substrate Substances 0.000 claims description 60
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 26
- 239000002070 nanowire Substances 0.000 claims description 22
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 claims description 17
- KXNLCSXBJCPWGL-UHFFFAOYSA-N [Ga].[As].[In] Chemical compound [Ga].[As].[In] KXNLCSXBJCPWGL-UHFFFAOYSA-N 0.000 claims description 17
- 239000002074 nanoribbon Substances 0.000 claims description 13
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 claims description 11
- 230000005669 field effect Effects 0.000 claims description 11
- 229910052710 silicon Inorganic materials 0.000 claims description 11
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 10
- 229910052732 germanium Inorganic materials 0.000 claims description 10
- 239000010703 silicon Substances 0.000 claims description 10
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 9
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 claims description 8
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 claims description 6
- 230000000295 complement effect Effects 0.000 claims description 6
- 239000012212 insulator Substances 0.000 claims description 5
- 239000007769 metal material Substances 0.000 claims description 2
- 230000008569 process Effects 0.000 abstract description 48
- 230000015572 biosynthetic process Effects 0.000 abstract description 11
- 238000009792 diffusion process Methods 0.000 abstract description 11
- 239000010410 layer Substances 0.000 description 55
- 238000004891 communication Methods 0.000 description 16
- 229910052751 metal Inorganic materials 0.000 description 16
- 239000002184 metal Substances 0.000 description 16
- 238000000151 deposition Methods 0.000 description 12
- 230000008901 benefit Effects 0.000 description 11
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 9
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 8
- 239000012535 impurity Substances 0.000 description 8
- 229910052782 aluminium Inorganic materials 0.000 description 7
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 7
- 229910052581 Si3N4 Inorganic materials 0.000 description 6
- 238000005229 chemical vapour deposition Methods 0.000 description 6
- 238000000059 patterning Methods 0.000 description 6
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 6
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 5
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 5
- 229910052785 arsenic Inorganic materials 0.000 description 5
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 5
- 238000000231 atomic layer deposition Methods 0.000 description 5
- 239000003989 dielectric material Substances 0.000 description 5
- 150000004767 nitrides Chemical class 0.000 description 5
- 238000005240 physical vapour deposition Methods 0.000 description 5
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical group [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 4
- 229910000673 Indium arsenide Inorganic materials 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- 229910045601 alloy Inorganic materials 0.000 description 4
- 239000000956 alloy Substances 0.000 description 4
- 230000007547 defect Effects 0.000 description 4
- 230000008021 deposition Effects 0.000 description 4
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 4
- 150000002739 metals Chemical class 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- 230000002829 reductive effect Effects 0.000 description 4
- 239000010936 titanium Substances 0.000 description 4
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 3
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 3
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 3
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 3
- 230000002411 adverse Effects 0.000 description 3
- 238000000137 annealing Methods 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 239000002800 charge carrier Substances 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 229910052733 gallium Inorganic materials 0.000 description 3
- 229910052738 indium Inorganic materials 0.000 description 3
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 3
- 238000002955 isolation Methods 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 239000000523 sample Substances 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- 229910052718 tin Inorganic materials 0.000 description 3
- 229910052719 titanium Inorganic materials 0.000 description 3
- 238000003325 tomography Methods 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- 239000010937 tungsten Substances 0.000 description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- 229910005542 GaSb Inorganic materials 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- NPXOKRUENSOPAO-UHFFFAOYSA-N Raney nickel Chemical compound [Al].[Ni] NPXOKRUENSOPAO-UHFFFAOYSA-N 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- 208000032460 X-linked 1 intellectual disability-hypotonic facies syndrome Diseases 0.000 description 2
- 239000000370 acceptor Substances 0.000 description 2
- AUCDRFABNLOFRE-UHFFFAOYSA-N alumane;indium Chemical compound [AlH3].[In] AUCDRFABNLOFRE-UHFFFAOYSA-N 0.000 description 2
- 229910052787 antimony Inorganic materials 0.000 description 2
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 2
- 230000009286 beneficial effect Effects 0.000 description 2
- 229910052797 bismuth Inorganic materials 0.000 description 2
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 230000006866 deterioration Effects 0.000 description 2
- 238000002149 energy-dispersive X-ray emission spectroscopy Methods 0.000 description 2
- VTGARNNDLOTBET-UHFFFAOYSA-N gallium antimonide Chemical compound [Sb]#[Ga] VTGARNNDLOTBET-UHFFFAOYSA-N 0.000 description 2
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 2
- 229910021480 group 4 element Inorganic materials 0.000 description 2
- 229910021478 group 5 element Inorganic materials 0.000 description 2
- 229910000449 hafnium oxide Inorganic materials 0.000 description 2
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 2
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 2
- 238000002513 implantation Methods 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 238000013507 mapping Methods 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- 238000001465 metallisation Methods 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 238000001451 molecular beam epitaxy Methods 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- PCLURTMBFDTLSK-UHFFFAOYSA-N nickel platinum Chemical compound [Ni].[Pt] PCLURTMBFDTLSK-UHFFFAOYSA-N 0.000 description 2
- 239000000615 nonconductor Substances 0.000 description 2
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 238000000988 reflection electron microscopy Methods 0.000 description 2
- 238000001350 scanning transmission electron microscopy Methods 0.000 description 2
- 238000001004 secondary ion mass spectrometry Methods 0.000 description 2
- 238000001518 sector field mass spectrometry Methods 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 2
- 238000004627 transmission electron microscopy Methods 0.000 description 2
- 238000011282 treatment Methods 0.000 description 2
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 238000002441 X-ray diffraction Methods 0.000 description 1
- BYDQGSVXQDOSJJ-UHFFFAOYSA-N [Ge].[Au] Chemical compound [Ge].[Au] BYDQGSVXQDOSJJ-UHFFFAOYSA-N 0.000 description 1
- XWCMFHPRATWWFO-UHFFFAOYSA-N [O-2].[Ta+5].[Sc+3].[O-2].[O-2].[O-2] Chemical compound [O-2].[Ta+5].[Sc+3].[O-2].[O-2].[O-2] XWCMFHPRATWWFO-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- -1 aluminum (Al) Chemical class 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- VKJLWXGJGDEGSO-UHFFFAOYSA-N barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[Ti+4].[Ba+2] VKJLWXGJGDEGSO-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 229910052790 beryllium Inorganic materials 0.000 description 1
- ATBAMAFKBVZNFJ-UHFFFAOYSA-N beryllium atom Chemical compound [Be] ATBAMAFKBVZNFJ-UHFFFAOYSA-N 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 239000012777 electrically insulating material Substances 0.000 description 1
- 239000007772 electrode material Substances 0.000 description 1
- 230000005670 electromagnetic radiation Effects 0.000 description 1
- 238000002003 electron diffraction Methods 0.000 description 1
- 238000001493 electron microscopy Methods 0.000 description 1
- 230000008030 elimination Effects 0.000 description 1
- 238000003379 elimination reaction Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000011066 ex-situ storage Methods 0.000 description 1
- 210000003754 fetus Anatomy 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 238000005429 filling process Methods 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 238000003384 imaging method Methods 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- JQJCSZOEVBFDKO-UHFFFAOYSA-N lead zinc Chemical compound [Zn].[Pb] JQJCSZOEVBFDKO-UHFFFAOYSA-N 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 230000007774 longterm Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 239000002071 nanotube Substances 0.000 description 1
- KJXBRHIPHIVJCS-UHFFFAOYSA-N oxo(oxoalumanyloxy)lanthanum Chemical compound O=[Al]O[La]=O KJXBRHIPHIVJCS-UHFFFAOYSA-N 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 238000004626 scanning electron microscopy Methods 0.000 description 1
- 238000004904 shortening Methods 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- VEALVRVVWBQVSL-UHFFFAOYSA-N strontium titanate Chemical compound [Sr+2].[O-][Ti]([O-])=O VEALVRVVWBQVSL-UHFFFAOYSA-N 0.000 description 1
- CZXRMHUWVGPWRM-UHFFFAOYSA-N strontium;barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[O-2].[Ti+4].[Sr+2].[Ba+2] CZXRMHUWVGPWRM-UHFFFAOYSA-N 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- 238000007669 thermal treatment Methods 0.000 description 1
- 238000012876 topography Methods 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 238000002424 x-ray crystallography Methods 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0165—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
- H10D84/017—Manufacturing their source or drain regions, e.g. silicided source or drain regions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0165—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
- H10D84/0193—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices the components including FinFETs
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/02—Manufacture or treatment characterised by using material-based technologies
- H10D84/03—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology
- H10D84/038—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology using silicon technology, e.g. SiGe
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/80—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs
- H10D84/82—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components
- H10D84/83—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components of only insulated-gate FETs [IGFET]
- H10D84/85—Complementary IGFETs, e.g. CMOS
- H10D84/853—Complementary IGFETs, e.g. CMOS comprising FinFETs
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/62—Fin field-effect transistors [FinFET]
- H10D30/6219—Fin field-effect transistors [FinFET] characterised by the source or drain electrodes
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0165—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
- H10D84/0167—Manufacturing their channels
Definitions
- a field-effect transistor is a semiconductor device that includes three terminals: a gate, a source, and a drain.
- a FET uses an electric field applied by the gate to control the electrical conductivity of a channel through which charge carriers (e.g., electrons or holes) flow from the source to the drain.
- charge carriers e.g., electrons or holes
- the FET is referred to as an n-channel device
- the FET is referred to as a p-channel device.
- MOSFETs metal-oxide-semiconductor FETs
- MOSFETs include a gate dielectric layer between the gate and the channel.
- MOSFETs may also be known, more generally, as metal- insulator-semiconductor FETs (MISFETs) or insulated-gate FETs (IGFETs).
- MISFETs metal- insulator-semiconductor FETs
- IGFETs insulated-gate FETs
- CMOS Complementary MOS
- p-MOS p-channel MOSFET
- n- MOS n-channel MOSFET
- a FinFET is a MOSFET transistor built around a thin strip of semiconductor material (generally referred to as a fin).
- the conductive channel of the FinFET device resides on the outer portions of the fin adjacent to the gate dielectric. Specifically, current runs along/within both sidewalls of the fin (sides perpendicular to the substrate surface) as well as along the top of the fin (side parallel to the substrate surface). Because the conductive channel of such configurations essentially resides along the three different outer, planar regions of the fin, such a FinFET design is sometimes referred to as a tri-gate transistor.
- a nanowire transistor (sometimes referred to as a gate-all-around (GAA) or nanoribbon transistor) is configured similarly to a fin-based transistor, but instead of a finned channel region where the gate is on three portions (and thus, there are three effective gates), one or more nanowires are used for the channel region and the gate material generally surrounds each nanowire.
- GAA gate-all-around
- Figure 1 illustrates a method of forming an integrated circuit (IC) including at least one source/drain (S/D) region processed after replacement gate processing, in accordance with some embodiments of the present disclosure.
- FIGS 2A-J illustrate example integrated circuit structures that are formed when carrying out the method of Figure 1, in accordance with some embodiments.
- Figure 3 illustrates an example cross-sectional view taken along plane A-A of the IC structure of Figure 2 J, in accordance with some embodiments.
- FIG. 4 illustrates a computing system implemented with integrated circuit structures and/or transistor devices formed using the techniques disclosed herein, in accordance with some embodiments of the present disclosure.
- dopant from one or both adjacent source/drain regions may undesirably diffuse into the channel region during the gate last/replacement gate process, such as during the high temperature anneal processes used to form the final gate stack (e.g., when depositing the replacement gate metal).
- Techniques for addressing these problems include complicated schemes aimed at controlling: dopant material via implantation; annealing process conditions; dopant material choices; and epitaxial deposition process conditions. While such techniques are intended to improve the short channel performance of transistors as gate lengths are scaled down, the techniques suffer from being ineffective and/or difficult to manufacture in a practical/efficient/cost-effective manner.
- transistors including final source/drain (S/D) material processed after replacement gate processing.
- S/D final source/drain
- at least one of the S/D regions may initially be formed with sacrificial S/D material that is intended to be removed and replaced later in the process flow with final S/D material.
- the sacrificial S/D material may be formed during the typical S/D region formation (e.g., after the dummy gate stack and gate spacers have been formed).
- the sacrificial S/D material may be removed and replaced with final S/D material through a corresponding S/D contact trench, such that the final S/D material is not formed until near the end of the front-end-of line (FEOL) processing (e.g., almost to back-end-of-line (BEOL) processing), thereby delaying formation of the final S/D material until after replacement gate processing has occurred.
- FEOL front-end-of line
- BEOL back-end-of-line
- the insulator material e.g., interlayer dielectric (ILD) material
- the insulator material may be removed (as opposed to merely forming S/D contact trenches therein) to allow for the removal and replacement of the sacrificial S/D material with final S/D material.
- ILD interlayer dielectric
- the techniques described herein can help address thermal budget constraints that may accompany the transistor fabrication process flow.
- the temperature or thermal budget for forming the final S/D material may be kept relatively low to, for example, prevent gate stack issues (e.g., to prevent deterioration of the gate metal and also to enable keeping the gate dielectric thickness relative low, such as less than 1-2 nm), as well as providing better short channel control from reduced dopant diffusion from the final S/D material near/at the S/D-channel interface (e.g., the final S/D material in S/D tips under the gate spacers).
- the techniques described herein enable more effective and manufacturable methods for achieving enhanced short channel control when fabricating transistors having relatively small gate lengths (e.g., gate lengths less than 50, 45, 40, 35, 30, 25, 20, 15, 10 or 5 nm).
- group IV semiconductor material includes at least one group IV element (e.g., silicon, germanium, carbon, tin), such as silicon (Si), germanium (Ge), silicon germanium (SiGe), and so forth.
- group III-V semiconductor material includes at least one group III element (e.g., aluminum, gallium, indium) and at least one group V element (e.g., nitrogen, phosphorus, arsenic, antimony, bismuth), such as gallium arsenide (GaAs), indium gallium arsenide (InGaAs), indium aluminum arsenide (InAlAs), gallium phosphide (GaP), gallium antimonide (GaSb), indium phosphide (InP), and so forth.
- group III element e.g., aluminum, gallium, indium
- group V element e.g., nitrogen, phosphorus, arsenic, antimony, bismuth
- group III may also be known as the boron group or IUPAC group 13
- group IV may also be known as the carbon group or IUPAC group 14
- group V may also be known as the nitrogen family or IUPAC group 15, for example.
- the techniques can be used to benefit transistors including channel material that includes Si, Ge, tin (Sn), indium (In), gallium (Ga), arsenic (As), and/or aluminum (Al).
- the sacrificial S/D material may include any suitable material as will be apparent in light of this disclosure.
- the sacrificial S/D material may be selected such that it can be selectively removed relative to the channel region material.
- the sacrificial S/D material may be selected such that it can be selectively etched relative to the channel region material using a wet etch process that includes a given etchant, where the given etchant removes the sacrificial S/D material without removing the channel region material or the given etchant removes the sacrificial S/D material at a rate that is relatively faster than the removal of the channel region material (e.g., at least 1.5, 2, 3, 4, 5, 10, 20, 30, 40, 50, or 100 times faster, for a given etchant).
- the sacrificial S/D material may include semiconductor material (e.g., group IV and/or group III-V semiconductor material); however, the present disclosure is not intended to be so limited unless otherwise stated.
- the sacrificial S/D material may include undoped/intrinsic semiconductor material or minimally doped semiconductor material (e.g., with dopant concentrations of less than 1E17 atoms per cubic centimeter (cm) of either n-type or p-type dopant).
- the processing avoids dopants that would otherwise be included in the S/D material from undesirably diffusing into the material of the adjacent channel region (such as during high temperature anneals during replacement metal gate processing).
- SiGe e.g., intrinsic/undoped SiGe
- SiGe may be used as a sacrificial S/D material, in some embodiments (e.g., for embodiments including a Si channel).
- the sacrificial SiGe may include a Ge concentration (by atomic percentage) in the range of 5-100% (e.g., in the subrange of 5-10, 5-20, 5-30, 5-50, 5-75, 10-20, 10- 30, 10-50, 10-75, 10-100, 20-30, 20-50, 20-75, 20-100, 30-50, 30-75, 30-100, 50-75, 50-100, or 75- 100%, or approximately 5, 10, 15, 20, 25, 30, 35, 40, 45, 50, 55, 60, 65, 70, 75, 80, 85, 90, 95, or 100%)), or any other suitable value or range as will be apparent in light of this disclosure. Note that where SiGe includes a Ge concentration of 100%>, it may just be considered Ge.
- InP e.g., intrinsic/undoped InP
- GaAs or InGaAs channel e.g., for embodiments including a GaAs or InGaAs channel.
- the techniques described herein can be used to benefit n-channel devices (e.g., n-MOS) and/or p-channel devices (e.g., p-MOS). Further, in some embodiments, the techniques described herein can be used to benefit MOSFET devices, tunnel FET (TFET) devices, high-electron-mobility transistor (HEMT) devices, and any other suitable devices as will be apparent in light of this disclosure. Further still, in some embodiments, the techniques described herein can be used to form complementary transistor circuits (such as CMOS circuits), where the techniques can be used to benefit one or more of the included n-type and p-type transistors making up the CMOS circuit.
- CMOS circuits complementary transistor circuits
- the techniques described herein can be used to benefit a multitude of transistor configurations, such as planar and non-planar configurations, where the non-planar configurations may include finned or FinFET configurations (e.g., dual-gate or tri- gate), gate-all-around (GAA) configurations (e.g., nanowire or nanoribbon), or some combination thereof (e.g., a beaded-fin configurations), to provide a few examples.
- the techniques may be used to form only one of an S/D pair (i.e., either the source or the drain) for a given transistor, such that only one of S/D regions includes final material processed after replacement gate processing, in accordance with some embodiments.
- the final S/D material of one of the S/D regions may be formed when sacrificial S/D material of the other S/D regions is formed, where only that other S/D region has the S/D material (i.e., the sacrificial S/D material) removed and replaced after replacement gate processing.
- both of the S/D regions i.e., both the source and the drain
- the techniques for forming transistors including final S/D material processed after replacement gate processing as described herein can benefit a multitude of transistor devices, as will be apparent in light of this disclosure.
- Use of the techniques and structures provided herein may be detectable using tools such as: electron microscopy including scanning/transmission electron microscopy (SEM/TEM), scanning transmission electron microscopy (STEM), nano-beam electron diffraction (BD or NBED), and reflection electron microscopy (REM); composition mapping; x-ray crystallography or diffraction (XRD); energy-dispersive x-ray spectroscopy (EDS); secondary ion mass spectrometry (SFMS); time-of-flight SFMS (ToF-SFMS); atom probe imaging or tomography; local electrode atom probe (LEAP) techniques; 3D tomography; or high resolution physical or chemical analysis, to name a few suitable example analytical tools.
- tools such as: electron microscopy including scanning/transmission electron microscopy (SEM/TEM), scanning transmission electron microscopy (STEM), nano-beam electron diffraction (BD or NBED), and reflection electron microscopy (REM); composition mapping; x-ray crystallography or d
- such tools may indicate an integrated circuit (IC) including at least one transistor including final S/D material processed after replacement gate processing.
- the techniques may be detected based on the final S/D material extending into an overlying S/D contact trench, due to the material being deposited after the overlying S/D contact trench has been formed (as the processing may be performed through that S/D contact trench, prior to forming the S/D contact).
- the techniques may be detected based on sacrificial S/D material still being present elsewhere on the IC.
- the techniques may be detected based on at least a portion of the sacrificial S/D material remaining near the transistor, such as the sacrificial S/D material being adjacent to the final S/D material that replaced the sacrificial S/D material.
- the sacrificial S/D material formed when replacing a given fin may never be removed at all, where such non-removed sacrificial S/D material may be a dummy structure that was never processed into a transistor (and thus, a portion of the sacrificial S/D material feature was removed and replaced with final S/D material).
- the techniques and structures described herein may be detected based on the benefits derived therefrom, such as the reduction or elimination of undesired/unintentional doping of the channel region via diffusion from adjacent S/D material (which can be achieved using through-contact S/D processing).
- elemental mapping via, e.g., secondary ion mass spectrometry, transmission electron microscopy, or atom probe tomography can be used look at composition profiles across the S/D-channel interfaces and identify very sharp dopant profiles that can be achieved using the techniques described herein.
- the techniques described herein may enable forming transistor devices with sub-30 nm gate lengths (or gate lengths below some other suitable threshold as will be apparent in light of this disclosure), which can also be detected and measured.
- the techniques enable transistor scaling (particularly for non-planar transistors) to future nodes (with relatively smaller gate lengths and novel material schemes) to ensure low operating voltage, higher drive currents, faster switching speeds, and thereby an overall improved performance. Numerous configurations and variations will be apparent in light of this disclosure.
- Figure 1 illustrates a method 100 of forming an integrated circuit (IC) including final source/drain (S/D) material processed after replacement gate processing, in accordance with some embodiments of the present disclosure.
- Figures 2A-J illustrate example integrated circuit structures that are formed when carrying out method 100 of Figure 1, in accordance with some embodiments.
- the structures of Figures 2A-J are primarily depicted and described herein in the context of forming transistor devices having finned configurations (e.g., FinFET or tri-gate), for ease of illustration and description.
- the techniques can be used to form transistors of any suitable any suitable geometry or configuration, as can be understood based on this disclosure.
- Figure 2G illustrates an example integrated circuit structure including transistors having nanowire configurations, as will be described in more detail below.
- method 100 can be used to benefit a multitude of different transistor types, such as MOSFET devices, TFET devices, HEMT devices, and/or any other suitable devices as will be apparent in light of this disclosure.
- method 100 can be used to benefit n-channel devices (e.g., n-MOS) and/or p- channel devices (e.g., p-MOS).
- method 100 can be used to benefit complementary transistor circuits (such as CMOS circuits), where either one or both of included n-type and p-type transistors making up the CMOS circuit are formed using the techniques described herein.
- CMOS circuits complementary transistor circuits
- Other example transistor devices can include few to single electron quantum transistor devices and some devices may employ semiconductor materials that are three dimensional crystals as well as two dimensional crystals or nanotubes, in accordance with some embodiments.
- the techniques may be used to benefit devices of varying scales, such as IC devices having critical dimensions in the micrometer (micron) range and/or in the nanometer (nm) range (e.g., formed at the 22, 14, 10, 7, 5, or 3 nm process nodes, or beyond).
- Method 100 of Figure 1 includes patterning 102 substrate 200 (which is intended to at least partially be used as transistor channel region material) into fins 202 to form the example resulting structure shown in Figure 2B, in accordance with some embodiments.
- substrate 200 may be used for the channel region material for one or more transistors formed therefrom.
- layer 200 may be a channel region material layer from which the channel region of one or more transistors are formed, but it need not be the bottom-most layer of an IC structure (which is commonly referred to as a substrate), in accordance with some embodiments.
- layer 200 may include one or more underlying layers (e.g., a bulk wafer layer), in some embodiments.
- underlying layers e.g., a bulk wafer layer
- the following description will treat layer 200 as both the substrate and the channel region material layer, as will be apparent in light of this disclosure.
- hardmask 210 was patterned on substrate 200 to assist with forming substrate 200 into fins 202, in this example embodiment.
- Hardmask 210 may be formed or deposited on substrate 200 using any suitable technique, as will be apparent in light of this disclosure.
- hardmask 210 may be blanket deposited or otherwise grown on substrate 200 using chemical vapor deposition (CVD), atomic layer deposition (ALD), physical vapor deposition (PVD), spin-on processing, and/or any other suitable process to form hardmask 210 on substrate 200.
- CVD chemical vapor deposition
- ALD atomic layer deposition
- PVD physical vapor deposition
- spin-on processing and/or any other suitable process to form hardmask 210 on substrate 200.
- the top surface of substrate 200 on which hardmask 210 is to be deposited may be treated (e.g., chemical treatment, thermal treatment, etc.) prior to deposition of the hardmask 210 material.
- Hardmask 210 can be patterned 102 using any suitable techniques, such as one or more lithography and etch processes, for example.
- Hardmask 210 may include any suitable material, such as oxide material, nitride material, and/or any other suitable dielectric material, for example. Specific oxide and nitride materials may include silicon oxide, titanium oxide, hafnium oxide, aluminum oxide, silicon nitride, and titanium nitride, just to name a few examples.
- the material of hardmask 210 may be selected based on the material of substrate 200, for example.
- Substrate 200 may include: a bulk substrate including group IV semiconductor material, such as silicon (Si), germanium (Ge), silicon germanium (SiGe), or silicon carbide (SiC), and/or group III-V material and/or any other suitable material(s) as will be apparent in light of this disclosure; an X on insulator (XOI) structure where X is one of the aforementioned materials (e.g., group IV and/or group III-V semiconductor material) and the insulator material is an oxide material or dielectric material or some other electrically insulating material; or some other suitable multilayer structure where the top layer includes one of the aforementioned materials (e.g., group IV and/or group III-V semiconductor material).
- group IV semiconductor material such as silicon (Si), germanium (Ge), silicon germanium (SiGe), or silicon carbide (SiC), and/or group III-V material and/or any other suitable material(s) as will be apparent in light of this disclosure
- XOI X on
- group IV semiconductor material includes at least one group IV element (e.g., silicon, germanium, carbon, tin), such as silicon (Si), germanium (Ge), silicon germanium (SiGe), and so forth.
- group IV element e.g., silicon, germanium, carbon, tin
- Si silicon
- germanium Ge
- SiGe silicon germanium
- group III-V semiconductor material includes at least one group III element (e.g., aluminum, gallium, indium) and at least one group V element (e.g., nitrogen, phosphorus, arsenic, antimony, bismuth), such as gallium arsenide (GaAs), indium gallium arsenide (InGaAs), indium aluminum arsenide (InAlAs), gallium phosphide (GaP), gallium antimonide (GaSb), indium phosphide (InP), and so forth.
- group III element e.g., aluminum, gallium, indium
- group V element e.g., nitrogen, phosphorus, arsenic, antimony, bismuth
- substrate 200 may be doped with any suitable n-type and/or p-type dopant.
- the Si may be p-type doped using a suitable acceptor (e.g., boron) or n-type doped using a suitable donor (e.g., phosphorous, arsenic), to provide some example cases.
- a suitable acceptor e.g., boron
- a suitable donor e.g., phosphorous, arsenic
- substrate 200 may be undoped/intrinsic or relatively minimally doped (such as including a dopant concentration of less than 1E17 atoms per cubic centimeter (cm)), for example.
- substrate 200 may include a surface crystalline orientation described by a Miller Index of ⁇ 100>, ⁇ 110>, or ⁇ 111>, or its equivalents, as will be apparent in light of this disclosure.
- substrate 200 in this example embodiment, is shown as having a thickness (dimension in the Y-axis direction) similar to other layers shown in subsequent structures for ease of illustration, in some instances, substrate 200 may be much thicker than the other layers, such as having a thickness in the range of 50 to 950 microns, for example, or any other suitable thickness as will be apparent in light of this disclosure.
- substrate 200 may be used for one or more other IC devices, such as various diodes (e.g., light-emitting diodes (LEDs) or laser diodes), various transistors (e.g., MOSFETs or TFETs), various capacitors (e.g., MOSCAPs), various microelectromechanical systems (MEMS), various nanoelectromechanical systems (NEMS), various radio frequency (RF) devices, various sensors, or any other suitable semiconductor or IC devices, depending on the end use or target application.
- various diodes e.g., light-emitting diodes (LEDs) or laser diodes
- transistors e.g., MOSFETs or TFETs
- various capacitors e.g., MOSCAPs
- MEMS microelectromechanical systems
- NEMS nanoelectromechanical systems
- RF radio frequency
- the structures described herein may be included in a system-on-chip (SoC) application, as will be apparent in light of this
- any suitable techniques may be used to form the example resulting structure of Figure 2B, such as performing a shallow trench recess (STR) etch, in accordance with an embodiment.
- the STR etch used to form trenches 215 and fins 202 may include any suitable techniques, such as any suitable wet and/or dry etching processes, for example. In some such cases, the STR etch may be performed in-situ/without air break, while in other cases, the STR etch may be performed ex-situ, for example.
- Trenches 215 may be formed with varying widths (dimension in the X-axis direction) and depths (dimension in the Y-axis direction) as can be understood based on this disclosure. For example, multiple hardmask patterning and STR etch processes may be performed to achieve varying depths in the trenches 215 between fins 202. Fins 202 may be formed to have varying widths Fw (dimension in the X-axis direction) and heights Fh (dimension in the Y-axis direction).
- the fins may be formed to have particular height to width ratios such that if they are later removed or recessed, the resulting fin-shaped trenches formed allow for defects in the replacement material deposited to terminate on a side surface as the material grows vertically, such as non-crystalline/dielectric sidewalls, where the sidewalls are sufficiently high relative to the size of the growth area so as to trap most, if not all, of the defects, if such an ART scheme is used.
- the channel region material need not be native to substrate 200 (as opposed to the structures formed using method 100, as will be apparent in light of this disclosure).
- the fin widths Fw may be in the range of 4-400 nm (e.g., in the subrange of 4-10, 4-20, 4-50, 4-100, 4-200, 10-20, 10-50, 10-100, 10-200, 10-400, 50-100, 50-200, 50-400, or 100-400 nm), for example, or any other suitable value or range, as will be apparent in light of this disclosure.
- the fin heights Fh may be in the range of 4-800 nm (e.g., in the subrange of 4-10, 4-20, 4-50, 4-100, 4-200, 4-400, 10-20, 10-50, 10-100, 10-200, 10- 400, 10-800, 50-100, 50-200, 50-400, 50-800, 100-400, 100-800, or 400-800 nm), for example, or any other suitable value or range, as will be apparent in light of this disclosure.
- the fins may be formed to have particular height to width ratios such that when they are later recessed and/or removed, the resulting fin trenches formed allow for defects in the replacement material deposited to terminate on a side surface as the material grows vertically, such as non-crystalline/dielectric sidewalls, where the sidewalls are sufficiently high relative to the size of the growth area so as to trap most, if not all, of the defects.
- the height to width ratio of the fins (Fh:Fw) may be greater than 1, such as greater than 1.5, 2, 2.5, 3, 3.5, 4, 4.5, 5, 6, 7, 8, 9, or 10, or any other suitable threshold ratio, as will be apparent in light of this disclosure.
- the trenches 215 and fins 202 are each shown in Figure 2B as having the same relative sizes and shapes in this example structure for ease of illustration; however, the present disclosure is not intended to be so limited.
- the fins 202 may be formed to have varying heights Fh and/or varying widths Fw.
- the trenches 215 between fins 202 may be formed to have varying depths and/or varying widths.
- any number of fins may be formed, such as one, two, three, five, ten, hundreds, thousands, millions, and so forth, as can be understood based on this disclosure.
- Method 100 of Figure 1 continues with filling 104 trenches 215 with shallow trench isolation (STI) material 220 and polishing/planarizing to form the resulting example structure shown in Figure 2C, in accordance with some embodiments.
- filling process 104 may include any suitable deposition/growth process described herein (e.g., CVD, ALD, PVD), or any other suitable deposition process.
- STI material 220 may include any suitable insulating material, such as one or more dielectric, oxide (e.g., silicon dioxide), and/or nitride (e.g., silicon nitride) materials. In some embodiments, STI material 220 may be selected based on the material of substrate 200.
- STI material may be silicon dioxide or silicon nitride, to provide some examples.
- Method 100 of Figure 1 continues with recessing 106 the STI material 220 to cause at least a portion 204 of fins 202 to exude from the STI plane, thereby forming the resulting example structure shown in Figure 2D, in accordance with some embodiments.
- fin portions 204 may be used in the active channel region of one or more transistors, such that fin portions 204 (the portions of fins 202 above the top plane of STI layer 220) may be referred to as active fin portions herein, for example.
- portions 203 the remaining portions of fins 202 below the top plane of STI layer 220 are indicated as portions 203, where such portions may be referred to as sub-fin and/or sub-channel portions, for example.
- the portions 204 of fins 202 exuding above the top plane of STI layer 220 have an active fin height indicated as Fah, which may be in the range of 4-800 nm (e.g., in the subrange of 4-10, 4-20, 4-50, 4-100, 4-200, 4- 400, 10-20, 10-50, 10-100, 10-200, 10-400, 10-800, 50-100, 50-200, 50-400, 50-800, 100-400, 100- 800, or 400-800 nm), for example, or any other suitable value or range, as will be apparent in light of this disclosure.
- fins portions 203 and 204 are native to substrate 200.
- fins 202 were formed from substrate 200 in this example embodiment and thus both of features 200 and 202 include the same material in the structure of Figure 2D, such that fins 202 (including portions 203 and 204) and substrate 200 are one homogenous structure.
- some or all of fins 202 may be removed and replaced with replacement fins, for example.
- the processing may continue from the structure of Figure 2C and include etching the fins 202 (e.g., using any suitable wet and/or dry etch processes) to form fin-shaped trenches between STI layer 220, where the etching either completely or partially removes fins 202 (e.g., either goes all the way to/past the bottom plane of STI layer 220 or does not, respectively).
- the fin trenches can be used for the deposition of a replacement material, and continuing with recess process 106 would result in the fins of Figure 2D being replacement fins (which may include different material than what is included in substrate 200).
- the replacement material may include group IV semiconductor material and/or group III-V semiconductor material, and/or any other suitable material as will be apparent in light of this disclosure.
- replacement fins including SiGe may be formed by removing native Si fins during such processing and replacing them with the SiGe material, to provide an example.
- an ART processing scheme may be employed, where the fin trenches have a high aspect ratio (e.g., heightwidth ratio of greater than 1, 1.5, 2, 3, 4, 5, or a higher value).
- Such an ART processing scheme may be employed, for example to trap dislocations, thereby preventing the dislocations from reaching the epitaxial film surface and greatly reducing the surface dislocation density within the trenches.
- method 100 of Figure 1 continues with forming 108 a dummy gate stack, including dummy gate dielectric 242 and dummy gate 244, thereby forming the example resulting structure of Figure 2E, in accordance with some embodiments.
- dummy gate dielectric 242 e.g., dummy oxide material
- dummy gate or dummy gate electrode 244 e.g., dummy poly-silicon material
- side-wall spacers 250 referred to generally as gate spacers (or simply, spacers), on either side of the dummy gate stack were also formed, and such spacers 250 can help determine the channel length and can help with the replacement gate processes, for example.
- the dummy gate stack (and spacers 250) can help define the channel region and source/drain (S/D) regions of each fin, where the channel region is below the dummy gate stack (as it will be located below the final gate stack), and the S/D regions are on either side of the channel region.
- Formation of the dummy gate stack may include depositing the dummy gate dielectric material 242 and dummy gate electrode material 244, patterning the dummy gate stack, depositing gate spacer material 250, and performing a spacer etch to form the structure shown in Figure 2E, for example.
- Spacers 250 may include any suitable material, such as any suitable electrical insulator, dielectric, oxide (e.g., silicon oxide), and/or nitride (e.g., silicon nitride) material, as will be apparent in light of this disclosure.
- a hardmask (not shown) may be formed over the dummy gate stack (which may also be formed over spacers 250) to protect the dummy gate stack during subsequent processing, for example.
- Method 100 of Figure 1 continues with forming 110 sacrificial S/D material 260 to form the example resulting structure of Figure 2F, in accordance with some embodiments.
- sacrificial S/D material 260 being formed during the S/D region processing
- final S/D material 261 was also formed in this example embodiment, which will be described in more detail herein.
- the sacrificial S/D material 260 may be formed using any suitable techniques, such as masking regions outside of the S/D regions to be processed, etching portions of the fins from the structure of Figure 2E (in this example case, active portions 204 were etched and removed, leaving only sub-fin portions 203 upon which replacement S/D material was formed, as shown), and forming/depositing/growing the sacrificial S/D material (e.g., using any suitable techniques, such as CVD, metal-organic CVD (MOCVD), ALD, molecular beam epitaxy (MBE), PVD), for example.
- MOCVD metal-organic CVD
- ALD atomic layer deposition
- MBE molecular beam epitaxy
- the source regions may be processed separately from the drain regions, as they may include different sacrificial material or only one of the S/D regions may include sacrificial material.
- post-gate replacement e.g., through- contact trench
- S/D processing need not be performed for both of the S/D regions of a given transistor.
- different S/D regions on a given IC structure may be processed than other S/D regions, thereby allowing for any combination of replacement material S/D regions at this stage, where the replacement material may include final S/D material or sacrificial S/D material to be later removed and replaced with final S/D material (e.g., via through-S/D contact processing).
- an S/D region not including sacrificial S/D material 260 may be formed with final S/D material at this stage of the process flow, as can be understood based on this disclosure (such as is shown in Figure 2F with the second left-most S/D set, where the front-most fin portion was replaced with sacrificial S/D material 260 and the back-most fin portion was replaced with final S/D material 261).
- one of the S/D regions may be masked off while processing occurs in the other S/D region, and then the masking and processing can be switched, for example.
- any combination of desired replacement material S/D regions can be repeated as many times as desired to form any combination of desired replacement material S/D regions, whether the replacement material is final S/D material or sacrificial S/D material.
- the left-most S/D regions were also processed to include final S/D material 261.
- such a combination of final and sacrificial S/D material may be utilized when forming different transistor types on the same IC.
- the left-most fin that includes final S/D material 261 may be formed into one of an n-MOS device or a p-MOS device, where it may be desired to process the final S/D material (e.g., n-type doped semiconductor material or p-type doped semiconductor material, respectively) at this stage, whereas the right-most fin that includes sacrificial S/D material 260 may be formed into the other of an n- MOS device or a p-MOS device, where it may be desired to process the final S/D material after replacement gate processing (e.g., through-S/D contact trenches).
- replacement gate processing e.g., through-S/D contact trenches
- the techniques described herein enable final p-type doped S/D regions to be processed at a different stage in the process flow than final n-type doped S/D regions. Numerous different techniques for processing the S/D regions will be apparent in light of this disclosure.
- the sacrificial S/D material may include any suitable material as will be apparent in light of this disclosure.
- the sacrificial S/D material may be selected such that it can be selectively removed relative to the channel region material.
- the sacrificial S/D material may be selected such that it can be selectively etched relative to the channel region material using a wet etch process that includes a given etchant, where the given etchant removes the sacrificial S/D material without removing the channel region material or the given etchant removes the sacrificial S/D material at a rate that is relatively faster than the removal of the channel region material (e.g., at least 1.5, 2, 3, 4, 5, 10, 20, 30, 40, 50, or 100 times faster, for a given etchant).
- the sacrificial S/D material may also be selected such that it can be selectively removed relative to the material of ILD layer 270 (which is described in more detail below) and/or the material underlying the sacrificial S/D material (the material of sub- fin portion 203, in this example case), such that the sacrificial S/D material can be removed later in the process flow without significantly/critically affecting the material of ILD layer 270 and/or the underlying material.
- the sacrificial S/D material may be selected such that it can be selectively etched relative to the material of ILD layer 270 and/or the underlying material using a wet etch process that includes a given etchant, where the given etchant removes the sacrificial S/D material without removing the channel region material or the given etchant removes the sacrificial S/D material at a rate that is relatively faster than the removal of the channel region material (e.g., at least 1.5, 2, 3, 4, 5, 10, 20, 30, 40, 50, or 100 times faster, for a given etchant).
- the sacrificial S/D material may include semiconductor material (e.g., group IV and/or group III-V semiconductor material); however, the present disclosure is not intended to be so limited unless otherwise stated.
- sacrificial S/D material 260 may include SiGe, where the Ge concentration (by atomic percentage) in the sacrificial SiGe may be in the range of 5-100% (e.g., in the subrange of 5-10, 5-20, 5-30, 5-50, 5-75, 10-20, 10-30, 10-50, 10-75, 10-100, 20-30, 20-50, 20-75, 20-100, 30-50, 30-75, 30-100, 50-75, 50- 100, or 75-100%, or approximately 5, 10, 15, 20, 25, 30, 35, 40, 45, 50, 55, 60, 65, 70, 75, 80, 85, 90, 95, or 100%), or any other suitable value or range as will be apparent in light of this disclosure.
- sacrificial S/D material 260 may include any suitable group IV semiconductor material, such as Si, SiGe, and/or Ge, for example.
- sacrificial S/D material 260 may include InGaAs, where the In concentration (by atomic percentage of the included group III materials) in the sacrificial InGaAs may be in the range of 5-60% (e.g., in the subrange of 5-10, 5-20, 5-40, 10-20, 10-40, 10-60, 20-40, 20-60, or 40-60%), or some other suitable concentration as will be apparent in light of this disclosure.
- sacrificial S/D material 260 may include any suitable group III-V semiconductor material, such as GaAs, InGaAs, InAs, and/or InP, for example.
- sacrificial S/D material 260 may include impurity dopants, such as to assist with selectively removing the sacrificial material 260 through contact trench processing, for example.
- the sacrificial S/D material 260 may be intrinsic/undoped or relatively minimally doped (e.g., with dopant concentration of less than 1E17 atoms per cubic cm), to prevent any included dopant from diffusing into the adjacent channel region during subsequent processing (e.g., during high temperature replacement gate anneal processing), as can be understood based on this disclosure.
- the use of sacrificial S/D material can help prevent the adjacent channel region from being doped in an unintentional/undesired manner, thereby improving transistor performance, as can be understood based on this disclosure.
- Method 100 of Figure 1 continues with depositing 112 isolation material 270 and patterning/recessing to expose the dummy gate stack, followed by performing 114 the final gate stack processing to form the example resulting structure of Figure 2G, in accordance with some embodiments.
- the processing in this example embodiment included depositing interlayer dielectric (ILD) layer 270 on the structure of Figure 2F, followed by planarization and/or polishing to reveal the dummy gate stack.
- ILD layer 270 is shown as transparent in the example structure of Figure 2G to allow for the underlying features to be seen; however, the present disclosure is not intended to be so limited.
- the ILD layer 270 may include any suitable electrical insulator, dielectric, oxide (e.g., silicon oxide), and/or nitride (e.g., silicon nitride) material, as will be apparent in light of this disclosure.
- the gate stack is formed using a gate last flow (also called a replacement gate or replacement metal gate (RMG) process).
- RMG replacement gate or replacement metal gate
- the finned channel regions (from active fin portions 204 that were covered by the dummy gate) are exposed to allow for any desired processing of those channel regions.
- processing of a given channel region may include various different techniques, such as removing and replacing a given channel region with replacement material, doping a given channel region as desired, forming a given channel region into one or more nanowires (or nanoribbons) for a gate-all-around (GAA) transistor configuration, cleaning/polishing a given channel region, and/or any other suitable processing as will be apparent in light of this disclosure.
- finned channel region 206 is illustrated (which is the channel region of the right-most of the four original finned structures), which may have been formed by doping the native fin 204 with a desired suitable n-type or p-type dopant, for example.
- finned channel region 206 may include the exact same material as active fin portions 204 previously formed, and such material may be intrinsic/undoped semiconductor material, for example.
- nanowire channel region 208 (which is the channel region of the left-most of the four original finned structures) may have been formed after the dummy gate was removed and the channel regions of the fins were exposed, by converting the finned structure at that location into the nanowires 208 shown using any suitable techniques, for example.
- the original finned channel region may have included a multilayer structure, where one or more of the layers are sacrificial and can be selectively etched to remove those sacrificial layers and release the nanowires 208.
- nanowire channel region 208 includes 2 nanowires (or nanoribbons) in this example case.
- a nanowire (or nanoribbon or GAA) transistor formed using the techniques disclosed herein may include any number of nanowires (or nanoribbons) such as 1, 3, 4, 5, 6, 7, 8, 9, 10, or more, depending on the desired configuration.
- the channel region is at least below the gate stack, in this example embodiment.
- the channel region may be below and between the gate stack, as the stack is formed on three sides as is known in the art.
- the gate and channel relationship may include a proximate relationship (which may or may not include intervening gate dielectric layer and/or other suitable layers), where the gate is near the channel region such that it can exert control over the channel region in some manner (e.g., in an electrical manner), in accordance with some embodiments.
- the gate stack may completely surround each nanowire/nanoribbon in the channel region (or at least substantially surround each nanowire, such as surrounding at least 70, 80, or 90% of each nanowire). Further still, in the case of a planar transistor configuration, the gate stack may simply be above the channel region.
- the channel region may include group IV semiconductor material (e.g., Si, SiGe, Ge), group III-V semiconductor material (e.g., GaAs, InGaAs, InAs), and/or any other suitable material as will be apparent in light of this disclosure.
- semiconductor material included in the channel region may be native to substrate 200 and/or semiconductor material included in the channel region may not be native to substrate 200 (e.g., such that it is replacement material or material formed above substrate 200).
- the channel region may be doped (e.g., with any suitable n-type and/or p-type dopant) or intrinsic/undoped (or relatively minimally doped), depending on the particular configuration.
- S/D regions are adjacent to either side of a corresponding channel region, as can be seen in Figures 2G and 3, for example. More specifically, S/D regions are directly adjacent to a corresponding channel region, such that there are no intervening layers between either of the S/D regions and the channel region, in the example embodiments.
- the present disclosure is not intended to be so limited.
- the configuration/geometry of a transistor formed using the techniques described herein may primarily be described based on the shape/configuration of the respective channel region of that transistor, for example. For instance, a nanowire (or nanoribbon or GAA) transistor may be referred to as such because it includes one or more nanowires (or nanoribbons) in the channel region of that transistor.
- the transistor type (e.g., MOSFET, TFET, HEMT, or other suitable type) may be described based on the doping and/or operating scheme of the source, channel, and drain regions, and thus those respective regions may be used to determine the type or classification of a given transistor, for example.
- MOSFET versus TFET transistors may structurally be very similar (or the same), but include different doping schemes (e.g., source-drain doping schemes for MOSFET of p-p or n-n versus p-n or n-p for TFET).
- the final gate stack can then be formed, in accordance with some embodiments.
- the final gate stack includes gate dielectric layer 282 and gate 284, as shown in Figure 2G.
- the gate dielectric layer 282 may include, for example, any suitable oxide (such as silicon dioxide), high-k gate dielectric material, and/or any other suitable material as will be apparent in light of this disclosure.
- high-k gate dielectric materials include, for instance, hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate, to provide some examples.
- an annealing process may be carried out on the gate dielectric layer 282 to improve its quality when high-k material is used.
- the gate 284 may include a wide range of materials, such as polysilicon, silicon nitride, silicon carbide, or various suitable metals or metal alloys, such as aluminum (Al), tungsten (W), titanium (Ti), tantalum (Ta), copper (Cu), titanium nitride (TiN), or tantalum nitride (TaN), for example.
- gate dielectric layer 282 and/or gate 284 may include a multilayer structure of two or more material layers, for example.
- gate dielectric layer 282 and/or gate 284 may include grading (e.g., increasing and/or decreasing) the content/concentration of one or more materials in at least a portion of the feature(s).
- Additional layers may be present in the final gate stack, in some embodiments, such as one or more work function layers or other suitable layers, for example.
- gate dielectric layer 282 is only shown below gate 284 in the example embodiment of Figure 2G, in other embodiments, the gate dielectric layer 282 may also be present on one or both sides of gate 284, such that the gate dielectric layer 282 may also be between gate 284 and one or both of spacers 250, for example. Numerous different gate stack configurations will be apparent in light of this disclosure.
- Method 100 of Figure 1 continues with forming 1 16 S/D contact trenches 290 in the isolation material (e.g., ILD layer 270) to form the example resulting structure of Figure 2H, in accordance with some embodiments.
- Such processing may include any suitable techniques, such as one or more wet and/or dry etch processes, to form contact trenches 290 in ILD layer 270 over one or more of the S/D regions.
- S/D contact trenches were only formed over S/D regions to be processed through those contacts (e.g., by removing and replacing sacrificial S/D material 260), and thus, S/D contact trenches were not formed over the other S/D regions.
- S/D contact trenches were not formed over final S/D material regions 261 in this example embodiment, as contact to those S/D regions will be made at a later stage in the process flow. However, in some embodiments, S/D contact trenches may also be formed in such areas (e.g., over final S/D material regions 261), such as where the removal and replacement of sacrificial S/D material 260 will not affect those areas or those areas may be masked off prior to performing the removal and replacement process (so those areas are not affected).
- not all sacrificial S/D material regions 260 may be used in transistor devices, and thus, not all of the sacrificial S/D material regions 260 may be further processed (e.g., removed and replaced with final S/D material).
- the second right-most set of sacrificial S/D material regions 260 are not to be processed further and thus may be dummy sacrificial S/D material regions that remain in the final IC structure.
- Such dummy sacrificial S/D material structures can be utilized to detect use of the techniques described herein, for example.
- formation of contact trenches 290 allows for processing of the underlying S/D regions therethrough, as can be understood based on this disclosure.
- contact trenches 290 are all shown as discrete trenches in this example embodiment (e.g., one trench per single S/D region); however, the present disclosure is not intended to be so limited, such that a single trench may access multiple S/D regions (e.g., multiple adjacent source regions or multiple adjacent drain regions), in some embodiments.
- Method 100 of Figure 1 continues with processing 118 one or more S/D regions through contact trenches 290 to form the example resulting structure of Figure 21, in accordance with some embodiments.
- the through-contact trench processing may include any suitable techniques, such as performing one or more wet and/or dry etch processes (e.g., one or more selective etch processes) to remove sacrificial S/D material 260 from portions below the trenches 290 and then forming the final S/D material 262 using any suitable techniques (e.g., via CVD, MOCVD, ALD, MBE, or PVD).
- selective etch processing used to remove sacrificial S/D material 260 may be performed without adversely affecting other exposed material (such as the material of ILD layer 270, and the material of the eventually exposed sub -fin portions 203 and channel regions).
- one or more features may be masked off to assist with preventing adversely affecting the feature(s).
- the three S/D regions that included overlying contact trenches 290 were processed to remove sacrificial S/D material regions 260 and replace them with final S/D material 262.
- the final material 262 of the S/D regions that were processed through contact trenches 290 extends into each corresponding contact trench 290; however, the present disclosure is not intended to be so limited unless otherwise stated.
- sacrificial S/D material 260 remains in portions where contact trenches 290 did not provide access thereto and thus such unexposed sacrificial S/D material was not removed, such as in portions adjacent to the processed S/D regions that were not below the contact trenches 290 (e.g., the portions adjacent the S/D regions opposite the side of those regions that are adjacent to the channel region).
- the source region of a given transistor may be processed separately from the drain region of the given transistor, as the S/D regions may include different material and/or different doping types, as can be understood based on this disclosure.
- one of the S/D regions (either the source region or drain region) may be masked off or still include ILD layer 270 (while the other has it removed) while processing occurs for the other of the S/D regions, and then the process can be repeated in reverse order to process the originally masked off (or otherwise non-processed) S/D region.
- processing may occur to both S/D regions for a given transistor simultaneously.
- the native fin 204 material i.e., native to substrate 200
- the native fin 204 material may remain in one or both of the S/D regions, where such native material can be doped to form final S/D region material (which may occur earlier in the process flow, for example).
- the material of the S/D regions may include native and/or replacement material, such that there may or may not be a distinct interface between the sub-fin portions 203 and the S/D regions. Note that even in embodiments where material native to substrate 200 is used in an S/D region, there may still be a distinct interface between that S/D region and the sub-fin 203, because of impurity dopants introduced into the S/D region, for example.
- the deposition processing when forming final S/D material (such as final S/D material 262) through S/D contact trenches, the deposition processing may be performed using relatively low temperatures, such as temperatures of less than 600, 550, 500, 450, or 400 degrees Celsius, or any other suitable threshold temperature as will be apparent in light of this disclosure. In some such embodiments, such processing can be beneficial from a dopant diffusion into the channel region standpoint, as final gate stack processing may include temperatures over those thresholds, such as temperatures over 600, 650, or 700 degrees Celsius, for example.
- the techniques described herein can prevent deterioration of the final gate stack (e.g., that may include a metal gate) and also enables keeping the gate dielectric thickness (dimension between the gate and the channel) relatively low (e.g., less than 3, 2, or 1 nm), as well as providing better short channel control from reduced dopant diffusion from the S/D regions into the adjacent channel region.
- the gate dielectric thickness dimension between the gate and the channel
- features 260, 261, and 262 are illustrated with patterning/shading to merely assist with visually identifying those features; however, the patterning/shading is not intended to limit the present disclosure in any manner.
- Figure 3 illustrates an example cross-sectional view taken along plane A-A of the IC structure of Figure 2J, which will be described in more detail below.
- Figure 3 helps to illustrate such S/D regions and the transistor structure in general, for instance. Numerous different techniques for processing the S/D regions will be apparent in light of this disclosure.
- the S/D regions may include any suitable material, such as group IV semiconductor material (e.g., Si, SiGe, Ge), group III-V semiconductor material (e.g., GaAs, InGaAs, InAs), and/or any other suitable semiconductor material, and may also include any suitable doping scheme, as will be apparent in light of this disclosure.
- group IV semiconductor material e.g., Si, SiGe, Ge
- group III-V semiconductor material e.g., GaAs, InGaAs, InAs
- the impurity dopants can convert the semiconductor material to extrinsic semiconductor material (as opposed to intrinsic semiconductor material), as can be understood based on this disclosure.
- Such doping intentionally introduces the impurities in semiconductor material to, for example, modulate the electrical properties of the semiconductor material.
- impurity doping may be used to change the electrical properties of included group IV and/or group III-V semiconductor material, for instance.
- doping semiconductor material may be achieved using any suitable techniques, such as via diffusion, ion implantation, depositing/growing the dopants with the primary semiconductor material, and/or any other suitable techniques as will be apparent in light of this disclosure.
- the dopants may be introduced into native semiconductor material (native to the substrate) and/or replacement semiconductor material (e.g., that is epitaxially formed), for instance.
- the impurity dopants may be implanted with or without preamorphizing treatments, for example. Any number of doping processes may be performed as desired to introduce suitable n-type and/or p-type dopant into the semiconductor material of the source, drain, and/or channel regions, as will be apparent in light of this disclosure.
- semiconductor material included in at least one of the layers/regions/features may not be intentionally doped, such that the semiconductor material is intrinsic or nominally undoped. Such nominal doping may occur as a result of undesired diffusion, for example, and thus, the use of "nominally undoped" with reference to semiconductor material or a layer/region/feature including semiconductor material includes having an impurity dopant concentration of less than 1E15, 1E16, 1E17, or 1E18 atoms per cubic centimeter (cm), or less than some other suitable threshold amount, as will be apparent in light of this disclosure.
- the dopants when dopants are present in the semiconductor material of any layer/region feature of a transistor device, the dopants may be present in any suitable concentration, such as in a concentration in the range of 1E15 to 5E22 atoms per cubic centimeter (cm), or any other suitable concentration as will be apparent in light of this disclosure.
- Relatively high dopant concentrations e.g., greater than 1E19, 1E20, or 1E21
- Conventional dopants for group IV semiconductor material includes phosphorous (P) and/or arsenic (As) for n- type dopant (donors) and boron (B) for p-type dopant (acceptors), to provide some examples.
- conventional dopants for group III-V semiconductor material e.g., GaAs, InGaAs, InAs
- group III-V semiconductor material includes Si for n-type dopant (donors) and beryllium (Be) and carbon (C) for p-type dopant, to provide some examples.
- final S/D material may include relatively more doping than sacrificial S/D material (e.g., sacrificial S/D material 260), such as including relatively greater doping concentrations of at least 1E16, 5E16, 1E17, 5E17, or 1E18 atoms per cubic cm, or any other suitable threshold value as will be apparent in light of this disclosure.
- the end transistor device includes final S/D material including a desired dopant concentration while also preventing dopant from the at least one of the S/D regions from undesirably diffusing into the adjacent channel region (which would shorten the effective channel length between the corresponding S/D regions).
- the techniques are particularly beneficial when transistor devices are scaled down to include gate lengths of less than 50, 45, 40, 35, 30, 25, 20, 15, or 10 nm, or some other suitable threshold length as will be apparent in light of this disclosure. Recall that the techniques described herein may be used for various different transistor types.
- the S/D regions may each include the same type of dopant in a source-channel- drain doping scheme of either p-n-p or p-i-p (e.g., for p-MOS), or n-p-n or n-i-n (e.g., for n-MOS), for example, where 'p' represents being p-type doped, 'n' represented being n-type doped, and 'i' represented being intrinsic/undoped (or nominally undoped).
- the right-most structure in Figure 2J that includes S/D regions 262 may be one of an n-MOS and p-MOS device and the leftmost structure in Figure 2J that includes S/D regions 261 may be the other of an n-MOS and p-MOS device, to provide an example.
- the source-channel- drain doping scheme may be either p-i-n or n-i-p (e.g., the second left-most structure in Figure 2 J that includes S/D region 261 and S/D region 262 may be a TFET device).
- the techniques described herein can be used to form S/D regions of a given transistor device with various different doping and material schemes, where one or both of the S/D regions is processed with the final material after a replacement gate process has been performed in a gate last process flow.
- one or both S/D regions of a given transistor formed using the techniques described herein may include a multilayer structure of two or more material layers, for example.
- one or both S/D regions of a given transistor formed using the techniques described herein may include grading (e.g., increasing and/or decreasing) the content/concentration of one or more materials in at least a portion of the region(s), where the material graded may relate to the concentration of included semiconductor material (e.g., the concentration of Ge throughout a SiGe S/D region) and/or included dopant, for example.
- a multitude of transistor types may be employed, as can be understood based on this disclosure.
- the configurations and/or properties e.g., included semiconductor material, doping, bandgap properties, relative location, and so forth
- the configurations and/or properties e.g., included semiconductor material, doping, bandgap properties, relative location, and so forth
- the configurations and/or properties e.g., included semiconductor material, doping, bandgap properties, relative location, and so forth
- the configurations and/or properties e.g., included semiconductor material, doping, bandgap properties, relative location, and so forth
- S/D contacts 292 may be formed using any suitable techniques, such as depositing metal or metal alloy (or other suitable electrically conductive material) in the contact trenches 290. Note that for S/D contacts formed over the S/D regions including final S/D material 261, contact trenches were first formed prior to forming the S/D contacts 292 shown.
- S/D contact 292 formation may include silicidation, germinidation, III-V-idation (e.g., intermetallic relatively high conductivity compound via metal and III-V material reaction), and/or annealing processes, for example.
- S/D contacts 292 may include aluminum or tungsten, although any suitable conductive metal or alloy can be used, such as silver, nickel-platinum, or nickel-aluminum, for example.
- one or more of the S/D contacts 292 may include a resistance reducing metal and a contact plug metal, or just a contact plug, for instance.
- Example contact resistance reducing metals include, for instance, nickel, aluminum, titanium, gold, gold-germanium, nickel-platinum, or nickel aluminum, and/or other such resistance reducing metals or alloys.
- Example contact plug metals include, for instance, aluminum, copper, nickel, platinum, titanium, or tungsten, or alloys thereof, although any suitably conductive contact metal or alloy may be used.
- additional layers may be present in the S/D contact 292 regions, such as adhesion layers (e.g., titanium nitride) and/or liner or barrier layers (e.g., tantalum nitride), if so desired.
- adhesion layers e.g., titanium nitride
- liner or barrier layers e.g., tantalum nitride
- Method 100 of Figure 1 continues with completing 122 integrated circuit (IC) processing, as desired, in accordance with some embodiments.
- Such additional processing to complete the IC may include back-end or back-end-of-line (BEOL) processing to form one or more metallization layers and/or to interconnect the transistor devices formed, for example. Any other suitable processing may be performed, as will be apparent in light of this disclosure.
- BEOL back-end or back-end-of-line
- Any other suitable processing may be performed, as will be apparent in light of this disclosure.
- the processes 102-122 of method 100 are shown in a particular order in Figure 1 for ease of description. However, one or more of the processes 102-122 may be performed in a different order or may not be performed at all. Further, additional processes may be performed in addition to or instead of processes 102-122 in variations of method 100, in accordance with some embodiments. Numerous variations on method 100 and the techniques described herein will be apparent in light of this disclosure.
- Figure 3 illustrates an example cross-sectional view taken along plane A-A of the IC structure of Figure 2J, in accordance with some embodiments of the present disclosure.
- Figure 3 is provided to assist in illustrating different components of the structure of Figure 2J. Therefore, the previous relevant description with respect to each similarly numbered feature is equally applicable to Figure 3.
- the dimensions of the features shown in Figures 2J and 3 may differ, for ease of illustration. Also note that some variations occur between the structures, such as the shape of spacers 250, for example, as is shown in Figure 3.
- both of the S/D regions 262 extend into the contact trenches 290 in which S/D contacts 292 were formed.
- sacrificial S/D material 260 remains adjacent to both of the S/D regions 262, as shown.
- very sharp dopant profiles will be present in the end device, in accordance with some embodiments.
- the dopant profile at the source-channel and/or drain-channel interfaces will be very distinct, as dopant from the source and/or drain regions does not diffuse into the adjacent channel region.
- the length of gate 284 (e.g., the dimension between spacers 250 in the Z-axis direction), which is indicated as Lg, may be any suitable length as will be apparent in light of this disclosure.
- the gate length may be in the range of 3-100 nm (e.g., 3-10, 3-20, 3-30, 3-50, 5-10, 5-20, 5-30, 5-50, 5-100, 10-20, 10-30, 10-50, 10-100, 20-30, 20-50, 20-100, or 50-100 nm), or any other suitable value or range as will be apparent in light of this disclosure.
- the gate length may be less than a given threshold, such as less than 100, 50, 45, 40, 35, 30, 25, 20, 15, 10, 8, or 5 nm, or less than some other suitable threshold as will be apparent in light of this disclosure.
- the techniques enable maintaining a desired device performance when scaling to such low thresholds, such as sub-50, sub-40, sub-30, or sub-20 nm thresholds, as can be understood based on this disclosure.
- the techniques described herein allow the gate length and the effective channel length (dimension between the S/D regions in the Z-axis direction) to be the same or approximately the same, due to the lack of dopant diffusion from the adjacent S/D regions that would have occurred if the techniques described herein were not used (thereby causing undesired short channel affects).
- the gate length may approximate the effective channel length, and the techniques described herein can prevent that effective channel length from undesirably shortening due to undesirable S/D dopant diffusion that would otherwise occur during gate last transistor processing (if the techniques described herein are not employed).
- FIG. 4 illustrates a computing system 1000 implemented with integrated circuit structures and/or transistor devices formed using the techniques disclosed herein, in accordance with some embodiments of the present disclosure.
- the computing system 1000 houses a motherboard 1002.
- the motherboard 1002 may include a number of components, including, but not limited to, a processor 1004 and at least one communication chip 1006, each of which can be physically and electrically coupled to the motherboard 1002, or otherwise integrated therein.
- the motherboard 1002 may be, for example, any printed circuit board, whether a main board, a daughterboard mounted on a main board, or the only board of system 1000, etc.
- computing system 1000 may include one or more other components that may or may not be physically and electrically coupled to the motherboard 1002.
- these other components may include, but are not limited to, volatile memory (e.g., DRAM), nonvolatile memory (e.g., ROM), a graphics processor, a digital signal processor, a crypto processor, a chipset, an antenna, a display, a touchscreen display, a touchscreen controller, a battery, an audio codec, a video codec, a power amplifier, a global positioning system (GPS) device, a compass, an accelerometer, a gyroscope, a speaker, a camera, and a mass storage device (such as hard disk drive, compact disk (CD), digital versatile disk (DVD), and so forth).
- volatile memory e.g., DRAM
- nonvolatile memory e.g., ROM
- graphics processor e.g., a digital signal processor
- crypto processor e.g., a graphics processor
- a digital signal processor
- any of the components included in computing system 1000 may include one or more integrated circuit structures or devices formed using the disclosed techniques in accordance with an example embodiment.
- multiple functions can be integrated into one or more chips (e.g., for instance, note that the communication chip 1006 can be part of or otherwise integrated into the processor 1004).
- the communication chip 1006 enables wireless communications for the transfer of data to and from the computing system 1000.
- wireless and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non-solid medium. The term does not imply that the associated devices do not contain any wires, although in some embodiments they might not.
- the communication chip 1006 may implement any of a number of wireless standards or protocols, including, but not limited to, Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, long term evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G, and beyond.
- the computing system 1000 may include a plurality of communication chips 1006.
- a first communication chip 1006 may be dedicated to shorter range wireless communications such as Wi- Fi and Bluetooth and a second communication chip 1006 may be dedicated to longer range wireless communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and others.
- the processor 1004 of the computing system 1000 includes an integrated circuit die packaged within the processor 1004.
- the integrated circuit die of the processor includes onboard circuitry that is implemented with one or more integrated circuit structures or devices formed using the disclosed techniques, as variously described herein.
- the term "processor" may refer to any device or portion of a device that processes, for instance, electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory.
- the communication chip 1006 also may include an integrated circuit die packaged within the communication chip 1006.
- the integrated circuit die of the communication chip includes one or more integrated circuit structures or devices formed using the disclosed techniques as variously described herein.
- multi-standard wireless capability may be integrated directly into the processor 1004 (e.g., where functionality of any chips 1006 is integrated into processor 1004, rather than having separate communication chips).
- processor 1004 may be a chip set having such wireless capability.
- any number of processor 1004 and/or communication chips 1006 can be used.
- any one chip or chip set can have multiple functions integrated therein.
- the computing system 1000 may be a laptop, a netbook, a notebook, a smartphone, a tablet, a personal digital assistant (PDA), an ultra-mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set-top box, an entertainment control unit, a digital camera, a portable music player, a digital video recorder, or any other electronic device or system that processes data or employs one or more integrated circuit structures or devices formed using the disclosed techniques, as variously described herein.
- PDA personal digital assistant
- Example 1 is an integrated circuit (IC) including: a substrate; and a transistor at least one of above and in the substrate, the transistor including a gate, a channel region below the gate, source and drain (S/D) regions adjacent the channel region, and a contact above one of the S/D regions, wherein the contact is in a trench and wherein material of the one of the S/D regions extends into the trench.
- IC integrated circuit
- Example 2 includes the subject matter of Example 1, wherein semiconductor material distinct from the substrate is directly adjacent to the one of the S/D regions on a side opposite the channel region, wherein the semiconductor material is undoped or nominally doped with a dopant concentration of less than 1E16 atoms per cubic centimeter (cm).
- Example 3 includes the subject matter of Example 2, wherein the semiconductor material includes silicon germanium (SiGe).
- Example 4 includes the subject matter of Example 3, wherein the channel region includes silicon (Si).
- Example 5 includes the subject matter of Example 3, wherein the channel region includes germanium (Ge).
- Example 6 includes the subject matter of Example 2, wherein the semiconductor material includes indium phosphide (InP).
- the semiconductor material includes indium phosphide (InP).
- Example 7 includes the subject matter of Example 6, wherein the channel region includes at least one of gallium arsenide (GaAs) and indium gallium arsenide (InGaAs).
- GaAs gallium arsenide
- InGaAs indium gallium arsenide
- Example 8 includes the subject matter of any of Examples 1-7, wherein the gate includes a length between two adjacent spacers of less than 30 nanometers (nm).
- Example 9 includes the subject matter of any of Examples 1-8, further including a contact above both of the S/D regions, wherein each contact is in a corresponding trench and wherein material of the S/D regions extends into the corresponding trench.
- Example 10 includes the subject matter of any of Examples 1-9, wherein the S/D regions each include one of n-type and p-type dopant.
- Example 11 includes the subject matter of any of Examples 1-9, wherein one of the S/D regions includes n-type dopant and the other of the S/D regions includes p-type dopant.
- Example 12 includes the subject matter of any of Examples 1-11, wherein the transistor is one of an n-channel and p-channel device.
- Example 13 includes the subject matter of any of Examples 1-12, wherein the transistor includes a configuration that is at least one of a planar, finned, double-gate, tri-gate, finned field- effect transistor (FinFET), nanowire, nanoribbon, and gate-all-around (GAA) configuration.
- the transistor includes a configuration that is at least one of a planar, finned, double-gate, tri-gate, finned field- effect transistor (FinFET), nanowire, nanoribbon, and gate-all-around (GAA) configuration.
- FinFET finned field- effect transistor
- GAA gate-all-around
- Example 14 includes the subject matter of any of Examples 1-13, wherein the transistor is at least one of a metal-oxide-semiconductor field-effect transistor (MOSFET) and a tunnel FET (TFET) device.
- MOSFET metal-oxide-semiconductor field-effect transistor
- TFET tunnel FET
- Example 15 includes the subject matter of any of Examples 1-14, wherein the transistor is a p- channel metal-oxide-semiconductor field-effect transistor (p-MOS) device.
- p-MOS metal-oxide-semiconductor field-effect transistor
- Example 16 includes the subject matter of any of Examples 1-15, further including a complementary metal-oxide-semiconductor (CMOS) device at least one of above and in the substrate, wherein the CMOS device includes the transistor.
- CMOS complementary metal-oxide-semiconductor
- Example 17 is a computing system including the subject matter of any of Examples 1-16.
- Example 18 is an integrated circuit (IC) including: a substrate; a transistor at least one of above and in the substrate, the transistor including a gate including at least one metal material, a channel region below the gate, and source and drain (S/D) regions adjacent the channel region; and semiconductor material distinct from the substrate and adjacent one of the S/D regions on a side opposite the channel region, wherein the semiconductor material is undoped or nominally doped with a dopant concentration of less than 1E16 atoms per cubic centimeter (cm).
- IC integrated circuit
- Example 19 includes the subject matter of Example 18, further including a contact above the one of the S/D regions, wherein the contact is in a trench and wherein material of the one of the S/D regions extends into the trench.
- Example 20 includes the subject matter of Example 18 or 19, wherein the semiconductor material includes silicon germanium (SiGe).
- Example 21 includes the subject matter of Example 20, wherein the channel region includes silicon (Si).
- Example 22 includes the subject matter of Example 20, wherein the channel region includes germanium (Ge).
- Example 23 includes the subject matter of Example 18 or 19, wherein the semiconductor material includes indium phosphide (InP).
- the semiconductor material includes indium phosphide (InP).
- Example 24 includes the subject matter of Example 23, wherein the channel region includes at least one of gallium arsenide (GaAs) and indium gallium arsenide (InGaAs).
- GaAs gallium arsenide
- InGaAs indium gallium arsenide
- Example 25 includes the subject matter of any of Examples 18-24, wherein the gate includes a length between two adjacent spacers of less than 30 nanometers (nm).
- Example 26 includes the subject matter of any of Examples 18-25, further including a contact above both of the S/D regions, wherein each contact is in a corresponding trench and wherein material of the S/D regions extends into the corresponding trench.
- Example 27 includes the subject matter of any of Examples 18-26, wherein the S/D regions each include one of n-type and p-type dopant.
- Example 28 includes the subject matter of any of Examples 18-26, wherein one of the S/D regions includes n-type dopant and the other of the S/D regions includes p-type dopant.
- Example 29 includes the subject matter of any of Examples 18-28, wherein the transistor is one of an n-channel and p-channel device.
- Example 30 includes the subject matter of any of Examples 18-29, wherein the transistor includes a configuration that is at least one of a planar, finned, double-gate, tri-gate, finned field- effect transistor (FinFET), nanowire, nanoribbon, and gate-all-around (GAA) configuration.
- the transistor includes a configuration that is at least one of a planar, finned, double-gate, tri-gate, finned field- effect transistor (FinFET), nanowire, nanoribbon, and gate-all-around (GAA) configuration.
- FinFET finned field- effect transistor
- GAA gate-all-around
- Example 31 includes the subject matter of any of Examples 18-30, wherein the transistor is at least one of a metal-oxide-semiconductor field-effect transistor (MOSFET) and a tunnel FET (TFET) device.
- MOSFET metal-oxide-semiconductor field-effect transistor
- TFET tunnel FET
- Example 32 includes the subject matter of any of Examples 18-31, wherein the transistor is a p-channel metal-oxide-semiconductor field-effect transistor (p-MOS) device.
- p-MOS metal-oxide-semiconductor field-effect transistor
- Example 33 includes the subject matter of any of Examples 18-32, further including a complementary metal-oxide-semiconductor (CMOS) device at least one of above and in the substrate, wherein the CMOS device includes the transistor.
- CMOS complementary metal-oxide-semiconductor
- Example 34 is a computing system including the subject matter of any of Examples 18-33.
- Example 35 is a method of forming an integrated circuit (IC), the method including: removing at least a portion of sacrificial semiconductor material through a trench in an insulator layer, the sacrificial semiconductor material in one of a source region and a drain region of a transistor, the transistor at least one of above and in a substrate, and the sacrificial semiconductor material being distinct from the substrate; and replacing the removed sacrificial material with doped semiconductor material.
- IC integrated circuit
- Example 36 includes the subject matter of Example 35, wherein the substrate is a silicon (Si) substrate.
- Example 37 includes the subject matter of Example 36, wherein the doped semiconductor material includes a dopant concentration that is at least 1E17 atoms per cubic centimeter (cm) greater than a dopant concentration included in the sacrificial semiconductor material.
- Example 38 includes the subject matter of any of Examples 35-37, wherein at least a portion of the sacrificial semiconductor material remains adjacent to the doped semiconductor material on a side opposite a channel region of the transistor.
- Example 39 includes the subject matter of any of Examples 35-38, further including forming the sacrificial semiconductor material prior to performing replacement gate processing.
- Example 40 includes the subject matter of any of Examples 35-39, wherein replacing the removed sacrificial semiconductor material with doped semiconductor material includes processing that does not exceed a temperature of 600 degrees Celsius.
- Example 41 includes the subject matter of any of Examples 35-40, wherein the doped semiconductor material includes p-type dopant.
- Example 42 includes the subject matter of any of Examples 35-40, wherein the doped semiconductor material includes n-type dopant.
Landscapes
- Insulated Gate Type Field-Effect Transistor (AREA)
Abstract
L'invention concerne des techniques de formation de transistors comprenant un matériau de source/drain (S/D) final traité après un traitement de grille de remplacement. Dans certains cas, au moins l'une des régions S/D d'un transistor peut initialement être formée avec un matériau S/D sacrificiel qui est destiné à être retiré et remplacé ultérieurement dans le flux de traitement par un matériau S/D final. Le matériau S/D sacrificiel peut être formé pendant la formation de région S/D typique (par exemple, après que l'empilement de grille factice et les entretoises de grille ont été formés). Ensuite, le matériau S/D sacrificiel peut être retiré et remplacé par un matériau S/D final par l'intermédiaire d'une tranchée de contact S/D correspondante, de telle sorte que le matériau S/D final n'est pas formé jusqu'à approcher de la fin du traitement de ligne d'extrémité avant (FEOL). Ceci permet de retarder la formation du matériau S/D final jusqu'à atteindre la fin du traitement de grille de remplacement, ce qui permet de résoudre le problème de diffusion de dopant à partir des régions S/D dans le canal.
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
PCT/US2016/068660 WO2018125035A1 (fr) | 2016-12-27 | 2016-12-27 | Transistors comprenant un matériau de source/drain final traité après traitement de grille de remplacement |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
PCT/US2016/068660 WO2018125035A1 (fr) | 2016-12-27 | 2016-12-27 | Transistors comprenant un matériau de source/drain final traité après traitement de grille de remplacement |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2018125035A1 true WO2018125035A1 (fr) | 2018-07-05 |
Family
ID=62710020
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2016/068660 WO2018125035A1 (fr) | 2016-12-27 | 2016-12-27 | Transistors comprenant un matériau de source/drain final traité après traitement de grille de remplacement |
Country Status (1)
Country | Link |
---|---|
WO (1) | WO2018125035A1 (fr) |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20140011330A1 (en) * | 2009-09-30 | 2014-01-09 | Institute of Microelectronics, Chinese Academy of Sciences | Method for manufacturing finfet with improved short channel effect and reduced parasitic capacitance |
US20150069473A1 (en) * | 2013-09-06 | 2015-03-12 | Glenn A. Glass | Transistor fabrication technique including sacrificial protective layer for source/drain at contact location |
WO2015142357A1 (fr) * | 2014-03-21 | 2015-09-24 | Intel Corporation | Techniques permettant une intégration des contacts de source/drain de transistor mos à canal p riches en germanium |
US20150279935A1 (en) * | 2014-04-01 | 2015-10-01 | Globalfoundries Inc. | Semiconductor devices with contact structures and a gate structure positioned in trenches formed in a layer of material |
US20160359046A1 (en) * | 2014-07-14 | 2016-12-08 | International Business Machines Corporation | Heterogeneous source drain region and extension region |
-
2016
- 2016-12-27 WO PCT/US2016/068660 patent/WO2018125035A1/fr active Application Filing
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20140011330A1 (en) * | 2009-09-30 | 2014-01-09 | Institute of Microelectronics, Chinese Academy of Sciences | Method for manufacturing finfet with improved short channel effect and reduced parasitic capacitance |
US20150069473A1 (en) * | 2013-09-06 | 2015-03-12 | Glenn A. Glass | Transistor fabrication technique including sacrificial protective layer for source/drain at contact location |
WO2015142357A1 (fr) * | 2014-03-21 | 2015-09-24 | Intel Corporation | Techniques permettant une intégration des contacts de source/drain de transistor mos à canal p riches en germanium |
US20150279935A1 (en) * | 2014-04-01 | 2015-10-01 | Globalfoundries Inc. | Semiconductor devices with contact structures and a gate structure positioned in trenches formed in a layer of material |
US20160359046A1 (en) * | 2014-07-14 | 2016-12-08 | International Business Machines Corporation | Heterogeneous source drain region and extension region |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11588052B2 (en) | Sub-Fin isolation schemes for gate-all-around transistor devices | |
US11495672B2 (en) | Increased transistor source/drain contact area using sacrificial source/drain layer | |
US11069795B2 (en) | Transistors with channel and sub-channel regions with distinct compositions and dimensions | |
US11101350B2 (en) | Integrated circuit with germanium-rich channel transistors including one or more dopant diffusion barrier elements | |
US11101268B2 (en) | Transistors employing non-selective deposition of source/drain material | |
US20190348415A1 (en) | Transistors employing cap layer for ge-rich source/drain regions | |
US10886408B2 (en) | Group III-V material transistors employing nitride-based dopant diffusion barrier layer | |
US11121030B2 (en) | Transistors employing carbon-based etch stop layer for preserving source/drain material during contact trench etch | |
US11164974B2 (en) | Channel layer formed in an art trench | |
US20190348501A1 (en) | Germanium-rich channel transistors including carbon-based dopant diffusion barrier | |
US11757004B2 (en) | Transistors including source/drain employing double-charge dopants | |
US20190348511A1 (en) | Cap layer for metal contacts of a semiconductor device | |
US11404575B2 (en) | Diverse transistor channel materials enabled by thin, inverse-graded, germanium-based layer | |
US11056592B2 (en) | Silicon substrate modification to enable formation of thin, relaxed, germanium-based layer | |
US10930738B2 (en) | Sub-fin leakage control in semicondcutor devices | |
WO2018125081A1 (fr) | Transistors utilisant une couche tampon métamorphique à croissance de couverture | |
WO2018182619A1 (fr) | Co-intégration de matériaux semi-conducteurs de compositions différentes à l'aide d'une couche de germe mince commune | |
WO2018125082A1 (fr) | Transistors riches en ge utilisant une couche de réduction de résistance de contact source/drain riche en si | |
WO2018063315A1 (fr) | Transistors à effet tunnel comprenant des régions de source/drain utilisant une couche de réduction de résistance de contact | |
WO2018063333A1 (fr) | Transistors à effet tunnel comprenant des régions de source/drain traitées par l'intermédiaire de tranchées de contact | |
WO2018125035A1 (fr) | Transistors comprenant un matériau de source/drain final traité après traitement de grille de remplacement | |
CN111033753A (zh) | 针对锗nmos晶体管的用以减少源极/漏极扩散的经掺杂的sti | |
WO2018182627A1 (fr) | Transistors comprenant des entretoises de grille asymétriques | |
WO2018063335A1 (fr) | Transistors à effet tunnel comprenant des régions de source/drain utilisant une couche d'arrêt de gravure à base de carbone | |
WO2018063310A1 (fr) | Transistors à effet tunnel comprenant des régions de source/drain utilisant différents matériaux semi-conducteurs |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
121 | Ep: the epo has been informed by wipo that ep was designated in this application |
Ref document number: 16925015 Country of ref document: EP Kind code of ref document: A1 |
|
NENP | Non-entry into the national phase |
Ref country code: DE |
|
122 | Ep: pct application non-entry in european phase |
Ref document number: 16925015 Country of ref document: EP Kind code of ref document: A1 |